2024-28270. Foreign-Produced Direct Product Rule Additions, and Refinements to Controls for Advanced Computing and Semiconductor Manufacturing Items  

  • Crosswalk for ECCN 3B001 Commodities That Moved to New ECCN 3B993

    3B001 Description 3B993
    c.1.b High-aspect ratio etch c.1.
    d.14 Remotely-generated radical assisted dielectric deposition d.1.
    d.16 Dielectric deposition d.2.
    f.1.b.2.b Less-advanced DUV photolithography equipment f.1.b.2.
    o.1 and o.2 Annealing equipment o.1 and o.2.
    p.1 and p.3 Cleaning equipment p.1 and p.3.

    Seven commodities are moved from former ECCN 3B001 paragraphs into the new ECCN 3B993, due to their node-agnostic nature and established usage in non-advanced-node fabrication applications. These include commodities in former paragraphs 3B001.c.1.b (high-aspect ratio etch), 3B001.d.14 (remotely-generated radical assisted dielectric deposition), 3B001.d.16 (dielectric deposition), 3B001.f.1 (less-advanced DUV photolithography equipment), 3B001.o.1 (annealing equipment), and 3B001.p.1 and p.3 (cleaning equipment). BIS has also added several other commodities to new 3B993 ECCNs.

    New paragraph 3B993.b.1 augments existing controls on ion implantation in 2B005.b, 3B001.b, and 3B991.b.1.g. 3B993.b.1 controls equipment that performs “plasma doping,” which enables dopant atoms to be deposited into the sidewalls of 3D structures like FinFETs and GAAFETs. This control also specifies several properties of the equipment, including the wafer size it can accept (300 mm diameter), power sources it uses (at least one radio frequency source and at least one pulsed direct current source), and the atomic species it can implant (namely, n-type or p-type dopants, which are the atomic species used to tune the electrical properties of semiconductor material).

    3B993.c.1 (formerly 3B001.c.1.b) controls certain equipment designed or modified for anisotropic dry etching. Atomic layer etching enhanced by the features described in 3B993.c.1 produce the vertical edges required in high-quality, leading-edge advanced devices and structures, including GAAFET and similar 3D structures. This control includes a Note to inform the public that 3B993.c.1 includes etching by `radicals', ions, sequential reactions, or non-sequential reactions. In addition, it includes a Technical Note to define the term `radical' used in the Note.

    3B993.c.2 controls etch equipment used in the fabrication of dynamic random access memory (DRAM) chips. As the size of DRAM cells decrease, the lateral dimensions of all the features within the memory cell also need to shrink. Crucially, this involves shrinking the diameter of the capacitor used to store the bit of information contained in the cell. One step in fabricating this capacitor is etching a high-aspect ratio feature into a dielectric material. Accordingly, the control focuses on equipment that can etch dielectric materials to an aspect ratio of greater than 30:1. Furthermore, it specifies the equipment can create openings with a lateral dimension less than 40 nm (necessary for capacitors that fit in a single advanced DRAM memory cell). This control also contains a Note to specify that it does not apply to equipment designed for wafer diameters less than 300 mm.

    3B993.c.3 expands on the control in 3B001.c.1.c by broadening the scope of the fast gas switching time (from 300 milliseconds (ms) to 500 ms), and the individually controllable variable temperature elements in the electrostatic chuck (from 20 to 10). 3B993.c.3 will not control equipment already controlled by 3B001.c.1.c.

    3B993.d.1 (derived from former 3B001.d.14) controls equipment for depositing films in features with certain geometry and a specified dielectric constant. This is an update to the former 3B001.d.14 control by redesignating this equipment specified under new 3B001.d.14 and specifying that the aspect ratio in 3B993.d.1.b describes the features. These changes are made to differentiate license requirements for more (3B001.d.14) versus less (3B993.d.1) advanced dielectric deposition equipment.

    3B993.d.2 (formerly 3B001.d.16) controls deposition for silicon and carbon containing films while meeting certain temperature requirements, having the capability to hold multiple vertically stacked wafers, and having certain injector configurations, as specified.

    3B993.d.3 controls equipment designed for chemical vapor deposition (CVD) of carbon hard masks. This expands on 3B001.d.5—which controls plasma-enhanced CVD (PECVD) of carbon hard masks—to encompass other CVD techniques and resulting film properties. Carbon hard masks are critical for multipatterning processes, with higher density masks providing better etch selectivity and pattern fidelity, enabling the creation of smaller features on the wafer.

    3B993.d.4 (related to new 3B001.d.19) controls equipment designed for depositing the insulators used in advanced DRAM production. As memory cells shrink, the DRAM cell's capacitor needs to use an insulator with a higher dielectric constant to compensate for its decreased size. It further specifies that this equipment can deposit material on very high aspect ratio features (greater than 50:1, characteristic of advanced DRAM). Additionally, 3B993.d.4 specifies the materials and processes used in practice to achieve this deposition in high volume manufacturing. Namely, the control specifies materials that require two metal precursors and result in a film with a high dielectric constant (greater than 35). It further specifies that the two metal precursors are delivered through direct liquid injection.

    3B993.f.1 (formerly part of 3B001.f.1) reflects the scope of former 3B001.f.1.b.2.b, which specified certain ( print page 96807) less-advanced DUV photolithography equipment. Equipment meeting the parameters in 3B993.f.1 is not eligible for de minimis treatment, with one exception as set forth in § 734.4(a)(3) of the EAR, as described below in Section B.1.

    3B993.f.2 controls nanoimprint lithography equipment capable of producing “advanced-node ICs.” This control specifies it controls equipment with an overlay accuracy between 1.5 nm and 4.0 nm. Also see the related new control on nanoimprint lithography, 3B001.f.5.

    3B993.f.3 specified commodities designed or modified to improve the productivity of controlled DUV photolithography equipment. BIS has also added similar controls in 3D993 and 3E993 that cover “software” and “technology” for the “development” or “production” of commodities specified by 3B993, which is intended to restrict “software” or technology” that could modify or improve DUV photolithography equipment.

    3B993.o.1 (formerly 3B001.o) controls certain annealing equipment for reflow of copper, cobalt, and tungsten. The scope of control is unchanged.

    3B993.o.2 is created to control certain equipment designed for annealing semiconductors. Annealing is an essential step used to modify the electrical properties of semiconductor material to be useful in transistors. This control is targeted at the “millisecond spike annealing” technology, which is used in advanced node semiconductor fabrication. Millisecond spike annealing allows for precise control of dopant activation and diffusion, with the “spike” referring to the extremely short duration during which the wafer is heated to the desired temperature. This brief, intense heating allows for dopant activation while minimizing unwanted diffusion, which is critical for creating advanced semiconductors. This control covers both lamp-based and laser-based millisecond spike annealing systems, as both can achieve rapid heating and cooling required for this process. 3B993.o.2 includes a Technical Note that `duration' is the period of time ( i.e., total elapsed time) the wafer is above the stated temperature.

    3B993.p is created to control removal and cleaning equipment 3B993.p.1 (formerly 3B001.p.1) controls equipment designed for removing polymeric residue and copper oxide film and enabling deposition of copper metal in a vacuum (equal to or less than 0.01 Pa) environment. 3B993.p.3 (formerly 3B001.p.3) controls equipment designed for dry surface oxide removal preclean or dry surface decontamination. BIS notes that this control does not capture deposition equipment not elsewhere specified, but which may also have the capability described in the control.

    3B993.q.1 is created to control metrology and inspection equipment for use with patterned 300 mm semiconductor wafers, including equipment employing either optical or electron beam techniques. It specifies the equipment must be designed or modified to detect defects equal to or smaller than 21nm, which BIS believes is critical for advanced-node production applications. This control also specifies properties of the source. For optical equipment (including broadband plasma equipment), it specifies the wavelength must be less than 400 nm, but BIS notes that this requirement would be met by equipment that can be tuned to a wavelength both below and above 400 nm. For electron beam equipment, it specifies the system must have a resolution less than or equal to 1.65nm, or certain properties for its electron beam source ( i.e., a cold field emission source, or two sources of any type).

    3B993.q.2 controls metrology equipment that can improve the overlay accuracy of photolithography equipment. Overlay accuracy is important for multipatterning, a process which enables legacy photolithography machines to create “advanced-node ICs.” 3B993.q.2 focuses on two types of machines. 3B993.q.2.a controls machines that measure wafer shape (typically used to feedforward measurements to lithography machines). 3B993.q.2.b controls machines that measure focus and overlay after resist development (typically used for feedback to a lithography machines). 3B993.q.2.a controls only standalone equipment (not equipment integrated into the lithography machines itself), whereas 3B993.q.2.b controls machines designed for integration to a track (which maximizes throughput). 3B993.q.2.b also specifies that the machine must have fast wavelength switching functionality and an overlay measurement accuracy better than 0.5 nm. 3B993.q.2 also includes Technical Notes to clarify the terminology in the control. Namely, these specify that, for the purposes of 3B993.q.2, a `track' is equipment designed for coating and developing photoresist formulated for lithography, and `fast wavelength switching functionality' means the equipment can the change the measurement wavelength and acquire a measurement in less than 25 ms.

    5. Addition of ECCN 3B994

    Similar to ECCN 3B993, BIS has added new ECCN 3B994 to specify items that can support “advanced-node IC” “production,” but which BIS believes also have legitimate applications in non-advanced-node production, and thus do not warrant nationwide license requirements or a presumption of denial. ECCN 3B994 will be continually evaluated to determine if additions, revisions, or removals are needed. ECCN 3B994 is controlled for RS and AT reasons; for the former, see § 742.6(a)(11) and (b)(12) of the EAR.

    3B994.b.2 controls certain ion implantation equipment. 3B994.b.2.a controls low- and medium-current ion implantation equipment. This equipment is used in advanced processes to maintain low damage and high uniformity on the smallest transistors fabricated in advanced production. 3B994.b.2.b controls high energy, low current systems which can implant dopants at a shallow depth below the surface of the wafer, which is used in some advanced processes. 3B994.b.2.c. controls systems that can maintain a high angular accuracy between the ion beam and the substrate, which is used to implant the non-planar transistor structures used in “advanced-node ICs.”

    3B994.q.3 controls equipment that uses optical measurement techniques and advanced software to determine the three-dimensional structure of patterns on a semiconductor wafer. Such techniques can be used to monitor and optimize process to fabricate the non-planar transistors used in “advanced-node ICs.”

    6. Revision to ECCN 3D002

    This IFR is removing the SME previously added to the license requirements of ECCN 3D002 and revising it to align with typical controls applied to Wassenaar Dual-Use List entries. The NS column 1 license requirement no longer only applies to certain SME, but now applies to the entire entry. The NS and RS license requirements for 3B001.a.4, c, d, f.1. b, j to p, 3B002.b and c, to Macau and destinations in Country Group D:5 are removed, because the WA control is broader. The RS control is not necessary, because this entry is controlled by a multilateral regime.

    7. Addition of ECCN 3D992

    BIS has added new ECCN 3D992 to control software for specified SME. 3D992.a controls “software” for the “development” or “production,” of ( print page 96808) commodities specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, or 3B002.c. In addition, 3D992.b is added to control electronic computer-aided design (ECAD) software for advanced semiconductor packaging involving multiple chips or chiplets co-packaged in a single device. This software must support complex 3D floorplans and must conduct advanced simulations to detect and mitigate potential signal degradation and electromagnetic interference. The control also includes a Technical Note to specify that `multi-chip' includes both multi-die and multi-chiplet.

    8. Addition of ECCN 3D993

    ECCN 3D993.a is added to control “software” for the “production” and “development” of commodities in ECCN 3B993. Paragraph 3D993.b controls `Electronic Computer-Aided Design' (`ECAD') “software” designed or modified for the “development” or “production” of integrated circuits using multipatterning. Paragraph 3B993.c controls computational lithography software, which enables fabrication facilities to decrease their minimum resolvable feature size through techniques such as optical proximity correction, inverse lithography, and hotspot correction. In some cases, this software acts as a digital model of the fabrication facility, allowing for very precise optimization of the fabrication process. Existing controls are limited to computational lithography equipment specifically for EUV, but computational lithography software that is not currently controlled can improve the minimum feature size achievable with DUV to less than 40nm and can facilitate the complex mask decomposition necessary for multipatterning. ECCN 3B993.d is added to control software designed or modified to improve the productivity of controlled DUV photolithography equipment. This addition addresses that potential workaround. 3D993 also includes a technical note which defines `computational lithography'.

    9. Addition of ECCNs 3E992 and 3E993

    This IFR adds ECCN 3E992 to control “technology” for the ”production” or “development” of commodities specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r; and 3B002.c to or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR (see § 742.4(a)(4) and § 742.6(a)(6)(i) of the EAR). This rule also moves the worldwide NS and RS controls for “software” for equipment controlled by 3B001.c.1.a or c.1.c from ECCN 3E001 to ECCN 3E992, as well as the License Exception IEC paragraph.

    This rule adds ECCN 3E993.a to control “technology” for the “development” or “production” of commodities specified in 3B993 (see § 742.6(a)(11) and (b)(12) of the EAR). ECCN 3E993.b is added to control “technology” designed or modified to improve the productivity of controlled DUV photolithography equipment.

    10. Addition of ECCNs 3D994 and 3E994

    This IFR adds ECCNs 3D994 and 3E994 to the CCL to control “software” and “technology” for the “development” or “production” of commodities specified in ECCN 3B994. These ECCNs will be controlled for RS and AT reasons; for the former, see § 742.6(a)(11) and (b)(12) of the EAR.

    IV. Public Comments

    BIS welcomes comments from the public on these additional changes and clarifications made to § 744.23(a)(4), as well as for any of the other changes included in this IFR.

    V. Savings Clauses

    • Savings clause for amendatory instructions 4, 5, 15, 16, 17, 19, 20, 21, 23, 25, 29, and 31: For changes in amendatory instructions 4, 5, 15, 16, 17, 19, 20, 21, 23, 25, 29, and 31, shipments of items removed from eligibility for a License Exception or export, reexport, or transfer (in-country) without a license (NLR) as a result of this regulatory action that were en route aboard a carrier to a port of export, reexport, or transfer (in-country), on January 6, 2025, pursuant to actual orders for export, reexport, or transfer (in-country) to or within a foreign destination, may proceed to that destination under the previous eligibility for a License Exception or export, reexport, or transfer (in-country) without a license (NLR), provided the export, reexport, or transfer (in-country) is completed no later than on February 3, 2025.
    • There is no savings clause for other amendatory instructions in this IFR.

    VI. Export Control Reform Act of 2018

    On August 13, 2018, the President signed into law the John S. McCain National Defense Authorization Act for Fiscal Year 2019, which included the ECRA (codified, as amended, at 50 U.S.C. 4801-4852). ECRA provides the legal basis for BIS's principal authorities and serves as the authority under which BIS issues this rule. In particular, and as noted elsewhere, Section 1753 of ECRA (50 U.S.C. 4812) authorizes the regulation of exports, reexports, and transfers (in-country) of items subject to U.S. jurisdiction. Further, Section 1754(a)(1)-(16) of ECRA (50 U.S.C. 4813(a)(1)-(16)) authorizes, inter alia, the establishment of a list of controlled items; the prohibition of unauthorized exports, reexports, and transfers (in-country); the requirement of licenses or other authorizations for exports, reexports, and transfers (in-country) of controlled items; apprising the public of changes in policy, regulations, and procedures; and any other action necessary to carry out ECRA that is not otherwise prohibited by law. Pursuant to Section 1762(a) of ECRA (50 U.S.C. 4821(a)), these changes can be imposed in a final rule without prior notice and comment.

    VII. Rulemaking Requirements

    1. Executive Orders 12866, 13563, and 14094 direct agencies to assess all costs and benefits of available regulatory alternatives and, if regulation is necessary, to select regulatory approaches that maximize net benefits (including potential economic, environmental, public health and safety effects and distributive impacts and equity). Executive Order 13563 emphasizes the importance of quantifying both costs and benefits and of reducing costs, harmonizing rules, and promoting flexibility. Pursuant to Executive Order 12866, as amended, this final rule has not been determined to be a “significant regulatory action.”

    2. Notwithstanding any other provision of law, no person is required to respond to, nor shall any person be subject to a penalty for failure to comply with, a collection of information subject to the requirements of the Paperwork Reduction Act of 1995 (44 U.S.C. 3501 et seq.) (PRA), unless that collection of information displays a currently valid Office of Management and Budget (OMB) Control Number.

    This rule involves the following OMB-approved collections of information subject to the PRA:

    • 0694-0088, “Multi-Purpose Application,” which carries a burden hour estimate of 29.4 minutes for a manual or electronic submission;
    • 0694-0096 “Five Year Records Retention Period,” which carries a burden hour estimate of less than 1 minute;
    • 0694-0122, “Licensing Responsibilities and Enforcement;” which carries a burden hour estimate of 10 minutes per electronic submission;
    • 0694-0137, “License Exceptions and Exclusions;” which carries a burden hour estimate of 5 minutes per electronic submission; and ( print page 96809)
    • 0607-0152 “Automated Export System (AES) Program,” which carries a burden hour estimate of 3 minutes per electronic submission.

    This IFR will affect the collection under control number 0694-0088, for the multipurpose application because of the addition of HBM controls to ECCN 3A090.c, the eight new Red Flags added to supplement no. 3 to part 732, and the addition of the new FDP rules under § 734.9(e)(3) and (k), which will result in additional license applications. BIS estimates that the changes included in this IFR will result in an increase of 75 multi-purpose applications ( i.e., an increase of 75 license applications) submitted annually to BIS. However, the additional burden falls within the existing burden estimates currently associated with these control numbers.

    This regulation also involves a collection previously approved by the OMB under control number 0694-0122, “Licensing Responsibilities and Enforcement” because this rule under the revision to § 758.6(a)(2) will require the items level classification for ECCN(s) 3A090.c to be included on the commercial invoice, similar to the previous requirement to include this classification information on the commercial invoice, which will now require identifying new 3A090.c when applicable on the commercial invoice. BIS does not anticipate a change in the total burden hours associated with the PRA and OMB control number 0694-0122 as a result of this rule.

    This IFR also involves a collection previously approved by the OMB under control number 0694-0137, “License Exceptions and Exclusions” because this rule includes the addition of two new EAR license exceptions, which each include new notification or reporting requirements that are being added to this BIS information collection under OMB Control Number 0694-0137. Specifically, this IFR adds new reporting requirements with the addition of License Exception HBM under § 740.25 of the EAR and adds new notification and reporting requirements for the addition of License Exception RFF under § 740.26 of the EAR. These changes are expected to result in an increase of 10 notifications to BIS under License Exception HBM. BIS estimates that the time needed to submit each notification to BIS is 20 minutes, resulting in an increase in burden hours of 3 hours. This collection of information fits within the scope of this IC. These changes are expected to result in an increase of 306 prior notifications under § 740.26(d)(1) to BIS under License Exception RFF. BIS estimates that the time needed to submit each notification to BIS is 5 minutes, resulting in an increase in burden hours of 26 hours. This collection of information fits within the scope of this IC.

    These changes are expected to result in an increase of not more than 3 notifications within one business day under § 740.26(d)(2) to BIS under License Exception RFF. BIS estimates that the time needed to submit each notification to BIS is 5 minutes, resulting in an increase in burden hours of no more than 15 minutes. This collection of information fits within the scope of this IC. These changes are expected to result in an increase of 306 installation reports under § 740.26(e)(1) to BIS under License Exception RFF. BIS estimates that the time needed to submit each notification to BIS is 5 minutes, resulting in an increase in burden hours of 26 hours. These changes are expected to result in an increase of 306 annual end-use confirmation reports under § 740.26(e)(2) to BIS under License Exception RFF. BIS estimates that the time needed to submit each notification to BIS is 5 minutes, resulting in an increase in burden hours of 26 hours. This collection of information fits within the scope of this IC.

    Additional information regarding these collections of information—including all background materials—can be found at https://www.reginfo.gov/​public/​do/​PRAMain by using the search function to enter either the title of the collection or the OMB Control Number.

    3. This rule does not contain policies with federalism implications as that term is defined in Executive Order 13132.

    4. Pursuant to Section 1762 of ECRA (50 U.S.C. 4821), this action is exempt from the Administrative Procedure Act (APA) (5 U.S.C. 553) requirements for notice of proposed rulemaking, opportunity for public participation, and delay in effective date. While Section 1762 of ECRA provides sufficient authority for such an exemption, this action is also independently exempt from these APA requirements because it involves a military or foreign affairs function of the United States (5 U.S.C. 553(a)(1)). However, BIS is accepting comments on this IFR.

    5. Because a notice of proposed rulemaking and an opportunity for public comment are not required to be given for this rule under the APA (5 U.S.C. 553) or by any other law, the analytical requirements of the Regulatory Flexibility Act (5 U.S.C. 601, et seq.) are not applicable. Accordingly, no regulatory flexibility analysis is required, and none has been prepared.

    List of Subjects

    15 CFR Parts 732

    • Administrative practice and procedure
    • Exports
    • Reporting and recordkeeping requirements

    15 CFR Part 734

    • Administrative practice and procedure
    • Exports
    • Inventions and patents
    • Research
    • Science and technology

    15 CFR Parts 740 and 758

    • Administrative practice and procedure
    • Exports
    • Reporting and recordkeeping requirements

    15 CFR Part 742

    • Exports
    • Terrorism

    15 CFR Part 744

    • Exports
    • Reporting and recordkeeping requirements
    • Terrorism

    15 CFR Parts 736, 770, and 772

    • Exports

    15 CFR Part 762

    • Administrative practice and procedure
    • Business and industry
    • Confidential business information
    • Exports
    • Reporting and recordkeeping requirements

    15 CFR Part 774

    • Exports
    • Reporting and recordkeeping requirements

    For the reasons stated in the preamble, parts 732, 734, 736, 740, 742, 744, 758, 762, 770, 772, and 774 of the Export Administration Regulations (15 CFR parts 730 through 774) are amended as follows:

    PART 732—STEPS FOR USING THE EAR

    1. The authority citation for part 732 continues to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    2. In supplement no. 3 to part 732 amend paragraph (b) by adding paragraphs 20 through 27 to read as follows:

    Supplement No. 3 to Part 732—BIS's “Know Your Customer” Guidance and Red Flags

    * * * * *

    (b) * * *

    20. A non-advanced fabrication facility orders equipment designed for “advanced- ( print page 96810) node IC” production, ( e.g., § 742.4(a)(4) ECCNs) that it would not need given its technology level. This technology mismatch indicates the fabrication facility produces or intends to produce “advanced-node ICs,” and it requires resolution before the exporter, reexporter, or transferor proceeds with the transaction.

    21. An exporter, reexporter, or transferor receives an order for which the ultimate owner or user of the items is uncertain, such as a request to ship equipment for developing or producing integrated circuits to a distributor without a manufacturing operation, when the item is ordinarily customized for the end user or installed by the supplier. Because the distributor would never be the end user of such equipment, the ultimate owner or beneficiary is unknown to the exporter, reexporter, or transferor. This uncertainty raises a Red Flag that needs to be resolved before the exporter, reexporter, or transferor proceeds with the transaction, in particular for items where such information would typically be known to an exporter, reexporter, or transferor, such as for advanced computing items, supercomputers, or SME.

    22. An exporter, reexporter, or transferor receives an order or request related to an item that would require an export, reexport, or in-country transfer license from BIS or another jurisdiction that maintains controls on the item, and there is uncertainty about the license history for the item. For example, there is information known to the exporter, reexporter, or transferor indicating that a required license was not, or would not have likely been obtained by the end user, such as where the end user or end use, or the ECCN and end-user destination triggers a license review policy of a presumption of denial. These uncertainties raise a Red Flag that needs to be resolved before the exporter, reexporter, or transferor proceeds with further transactions related to the item to avoid the risk of violating § 764.2(e) (“Acting with knowledge of a violation.”). This would include acting on requests to service, install, upgrade, or otherwise maintain the item of concern.

    23. An exporter, reexporter, or transferor receives a request to service, install, upgrade, or otherwise maintain an item that was altered after export, reexport, or transfer by a third-party for a more advanced end use that would normally require a license for the destination. This scenario raises a Red Flag that the item is employed in a prohibited end use that would need to be resolved before proceeding further with the transaction.

    24. An exporter, reexporter, or transferor receives a request for an item or service from a new customer. The new customer's senior management or technical leadership ( e.g., process engineers that are team leaders or otherwise leading development or production activities) overlaps with an entity on the Entity List in supplement no. 4 to part 744 of the EAR, particularly if the supplier previously provided the same or substantially similar item or service to the Entity List entity, most likely prior to the listed entity being added to the Entity List. This scenario would raise a Red Flag that the entity requesting the item or service is engaged in or supporting the same prohibited end use as the Entity List entity, and the supplier would need to conduct additional due diligence before proceeding with the transaction with the new customer.

    25. An exporter, reexporter, or transferor receives a request from a new customer for an item or service that was designed or modified for an existing or former customer that is now designated on the Entity List. This scenario would raise a Red Flag that the new customer has assumed the operations for which the item or service is still needed to engage in or support the same prohibited end-use for which the Entity List entity was listed. The exporter, reexporter, or transferor must resolve this Red Flag before proceeding with the transaction.

    26. For purposes of analyzing the scope of the Entity List FDP rule for Footnote 5 entities described in § 734.9(e)(3) and the SME FDP rule in § 734.9(k), if a foreign-produced item is described in the relevant Category 3B ECCN in § 734.9(e)(3)(i) or § 734.9(k)(1) and contains at least one integrated circuit, then there is a Red Flag that the foreign-produced item meets the product scope of the applicable FDP rule. The exporter, reexporter, or transferor must resolve this Red Flag before proceeding.

    27. The end user is a “facility” that is physically connected to a “facility” where “production” of “advanced-node ICs” occurs. This scenario raises a Red Flag that the end user is also a “facility” where the “production” of “advanced-node ICs” occurs, and the supplier would need to conduct additional due diligence before proceeding with the transaction. For example, if an exporter, reexporter, or transferor receives an equipment order from a company that is engaged in “production” of non-“advanced-node ICs” in a building with a bridge, tunnel, or other connection to another building where the “production” of “advanced-node ICs” occurs, then both buildings would be subject to the controls under § 744.23 of the EAR. However, if the exporter or fabrication facility has received an Advisory Opinion from BIS confirming that the “production” technology node for the relevant facility does not qualify as an “advanced-node IC” technology node, that would resolve the Red Flag of the connection to the advanced facility. Unless the Red Flag is resolved through an Advisory Opinion, the two buildings are treated as a single “facility” for purposes of § 744.23 of the EAR.

    PART 734—SCOPE OF THE EXPORT ADMINISTRATION REGULATIONS

    3. The authority citation for part 734 is revised to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; E.O. 13637, 78 FR 16129, 3 CFR, 2014 Comp., p. 223; Notice of November 7, 2024, 89 FR 88867 (November 8, 2024).

    4. Section 734.4 is amended by:

    a. Revising paragraph (a)(3); and

    b. Adding paragraphs (a)(8) and (9).

    The revision and additions read as follows:

    De minimis U.S. content.

    (a) * * *

    (3) There is no de minimis level for equipment meeting the parameters in ECCN 3B993.f.1 of the Commerce Control List in supplement no. 1 to part 774 of the EAR, when the equipment is destined for use in the “development” or “production” of “advanced-node integrated circuits” and the “advanced-node integrated circuits” meet the parameter specified in paragraph (1) of that definition in § 772.1 of the EAR, unless the country from which the foreign-made item was first exported 1 has a commodity specified on an export control list.

    * * * * *

    (8) There is no de minimis level related to the SME FDP rule for a commodity meeting the parameters in ECCNs 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, or 3B002.c of the Commerce Control List (CCL) in supplement no. 1 to part 774 of the EAR, when the commodity contains a U.S.-origin integrated circuit specified under Category 3, 4, or 5 of the CCL, and the commodity is destined for Macau or a destination specified in Country Group D:5, unless excluded from the national security license requirement in § 742.4(a)(4) or the regional stability license requirement in § 742.6(a)(6) of the EAR.

    (9) There is no de minimis level related to the Footnote 5 FDP rule for an item meeting the parameters in ECCNs specified in Category 3B (except 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, or 3B002.c) of the Commerce Control List (CCL) in supplement no. 1 to part 774 of the EAR, when the commodity contains a U.S.-origin integrated circuit specified under Category 3, 4, or 5 of the CCL, and the commodity is destined for an entity with a Footnote 5 designation in the license requirement column of the Entity List in supplement no. 4 to part 744 of the EAR.

    * * * * *

    1  The Government of Japan added ArF-wet lithography equipment and other advanced semiconductor manufacturing equipment to its control list for all regions on July 23, 2023.

    * * * * *

    5. Section 734.9 is amended by:

    a. Revising the introductory text;

    b. Revising paragraphs (e) introductory text, (e)(1)(i)(A) and (B), and (e)(2)(i)(A) and (B);

    c. Adding paragraph (e)(3); ( print page 96811)

    d. Revising paragraphs (h)(1)(i)(A) introductory text, (h)(1)(ii)(A), and (i)(1)(i) and (ii); and

    e. Adding paragraph (k).

    The revisions and additions read as follows:

    Foreign-Direct Product (FDP) Rules.

    Foreign-produced items located outside the United States are subject to the EAR when they are a “direct product” of specified “technology” or “software,” produced by a complete plant or `major component' of a plant that itself is a “direct product” of specified “technology” or “software,” or, for specified foreign-produced items in paragraph (e)(3)(i)(B)(2) of this section, contain an item produced by a complete plant or `major component' of a plant that itself is a “direct product” of specified “technology” or “software.” If a foreign-produced item is subject to the EAR, then you should separately determine the license requirements that apply to that foreign-produced item ( e.g., by assessing the item classification, destination, end-use, and end-user in the relevant transaction). Not all transactions involving foreign-produced items that are subject to the EAR require a license. Those transactions that do require a license may be eligible for a license exception.

    * * * * *

    (e) Entity List FDP rules. A foreign-produced item is subject to the EAR if it meets the product scope and end-user scope in either Entity List FDP rule footnote 1 provision in paragraph (e)(1) of this section; the Entity List FDP rule footnote 4 provision in paragraph (e)(2) of this section; or the Entity List FDP rule Footnote 5 provision in paragraph (e)(3) of this section.

    (1) * * *

    (i) * * *

    (A) “Direct product” of “technology” or “software. ” A foreign-produced item meets the product scope of this paragraph (e)(1)(i)(A) if the foreign-produced item is a “direct product” of “technology” or “software” subject to the EAR and specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, or 5E991 of the Commerce Control List (CCL) in supplement no. 1 to part 774 of the EAR; or

    (B) Product of a complete plant or 'major component' of a plant that is a “direct product. ” A foreign-produced item meets the product scope of this paragraph if the foreign-produced item is produced by any complete plant or `major component' of a plant that is located outside the United States, when the complete plant or `major component' of a plant, whether made in the U.S. or a foreign country, itself is a “direct product” of U.S.-origin “technology” or “software” that is specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, or 5E991 of the CCL.

    Note 2 to paragraph (e)(1)(i):

    A foreign-produced item includes any foreign-produced wafer whether finished or unfinished.

    * * * * *

    (2) * * *

    (i) * * *

    (A) “Direct product” of “technology” or “software. ” The foreign-produced item is a “direct product” of “technology” or “software” subject to the EAR and specified in ECCN 3D001, 3D901 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E002, or 5E991 of the CCL; or

    (B) Product of a complete plant or 'major component' of a plant that is a “direct product. ” A foreign-produced item meets the product scope of this paragraph if the foreign-produced item is produced by any complete plant or `major component' of a plant that is located outside the United States, when the complete plant or `major component' of a plant, whether made in the U.S. or a foreign country, itself is a “direct product” of U.S.-origin “technology” or “software” that is specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E002, or 5E991 of the CCL.

    * * * * *

    (3) Entity List FDP rule: Footnote 5. A foreign-produced commodity is subject to the EAR if it meets both the product scope in paragraph (e)(3)(i) of this section and the end-user scope in paragraph (e)(3)(ii) of this section. See § 744.11(a)(2)(v) of the EAR for license requirements, exclusion from license requirements, and license review policy, applicable to foreign-produced commodities that are subject to the EAR pursuant to this paragraph (e)(3).

    (i) Product Scope Entity List FDP rule: Footnote 5. The product scope applies if a foreign-produced commodity is specified in ECCN 3B001 (except 3B001.a.4, c, d, f.1, f.5, g, h, k to n, p.2, p.4, r), 3B002 (except 3B002.c), 3B903, 3B991 (except 3B991.b.2.a through 3B991.b.2.b), 3B992, 3B993, or 3B994, and meets the conditions of either paragraph (e)(3)(i)(A) or (B) of this section.

    (A) “Direct product” of “technology” or “software. ” A foreign-produced item meets the product scope of this paragraph if the foreign-produced commodity is a “direct product” of “technology” or “software” subject to the EAR and specified in ECCN 3D001 (for 3B commodities), 3D901(for 3B903), 3D991 (for 3B991 and 3B992), 3D993, 3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991 and 3B992), 3E993, or 3E994 of the Commerce Control List (CCL) in supplement no. 1 to part 774 of the EAR; or

    (B) Product of a complete plant or `major component' of a plant that is a “direct product,” or a commodity that contains a product of a complete plant or `major component' of a plant that is a “direct product. ” A foreign-produced commodity meets the product scope of this paragraph if the foreign-produced commodity meets at least one of the following conditions:

    ( 1) Is produced by any complete plant or `major component' of a plant that is located outside the United States, when the complete plant or `major component' of a plant, whether made in the United States or a foreign country, itself is a “direct product” of U.S.-origin “technology” or “software” that is specified in ECCN 3D001 (for 3B commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992, 3D993, 3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991 and 3B992), 3E992, 3E993, or 3E994 of the CCL; or

    ( 2) Contains a commodity produced by any complete plant or `major component' of a plant that is located outside the United States, when the complete plant or `major component' of a plant, whether made in the United States or a foreign country, itself is a “direct product” of U.S.-origin “technology” or “software” that is specified in ECCN 3D001 (for 3B commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992, 3D993, 3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991 and 3B992)), 3E992, 3E993, or 3E994 of the CCL.

    Note 3 to paragraph (e)(3)(i)(B)( 2):

    The product scope of paragraph (e)(3)(i) is met if a foreign-produced commodity contains an integrated circuit that is produced by a complete plant or `major component' of a plant that itself is a “direct product” of U.S.-origin “technology” or “software” specified in the ECCNs described in paragraph ( print page 96812) (e)(3)(i)(B)(2). See Red Flag 26 in supplement no. 3 to part 732 for additional guidance on the scope of paragraph (e)(3)(i). Production of an integrated circuit includes fabrication of the integrated circuit in a wafer, as well as assembly, testing, and packaging of the integrated circuit.

    (ii) End-user scope of the Entity List FDP rule: Footnote 5. A foreign-produced commodity meets the end-user scope of this paragraph (e)(3)(ii) if there is “knowledge” that:

    (A) Activities involving Footnote 5 designated entities. The foreign-produced commodity will be incorporated into any “part,” “component,” or “equipment” produced, purchased, or ordered by any entity with a Footnote 5 designation in the license requirement column of the Entity List in supplement no. 4 to part 744 of the EAR; or

    (B) Footnote 5 designated entities as transaction parties. Any entity with a Footnote 5 designation in the license requirement column of the Entity List in supplement no. 4 to part 744 of the EAR is a party to any transaction involving the foreign-produced commodity ( e.g., as a “purchaser,” “intermediate consignee,” “ultimate consignee,” or “end-user”).

    * * * * *

    (h) * * *

    (1) * * *

    (i) * * *

    (A) The foreign-produced item is the “direct product” of “technology” or “software” subject to the EAR and specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D090, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E991, or 5E002 of the CCL; and

    * * * * *

    (ii) * * *

    (A) The foreign-produced item is produced by any complete plant or `major component' of a plant that is located outside the United States, when the plant or `major component' of a plant, whether made in the United States or a foreign country, itself is a “direct product” of U.S.-origin “technology” or “software” that is specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D090, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D991, 5E001, 5E991, 5D002, or 5E002 of the CCL; and

    * * * * *

    (i) * * *

    (1) * * *

    (i) “Direct product” of “technology” or “software.” The foreign-produced item meets the product scope of this paragraph (i)(1)(i) if the foreign-produced item is a “direct product” of “technology” or “software” subject to the EAR and specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D993, 4D994, 4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E002, or 5E991 of the CCL; or

    (ii) Product of a complete plant or 'major component' of a plant that is a “direct product. ” A foreign-produced item meets the product scope of this paragraph if the foreign-produced item is produced by any complete plant or `major component' of a plant that is located outside the United States, when the complete plant or `major component' of a plant, whether made in the United States or a foreign country, itself is a “direct product” of U.S.-origin “technology” or “software” that is specified in ECCN 3D001, 3D901, 3D991, 3D992, 3D993, 3D994, 3E001, 3E002, 3E003, 3E901, 3E991, 3E992, 3E993, 3E994, 4D001, 4D994, 4E001, 4E992, 4E993, 5D001, 5D002, 5D991, 5E001, 5E002, or 5E991 of the CCL.

    * * * * *

    (k) Semiconductor Manufacturing Equipment (SME) FDP rule. A foreign-produced commodity is subject to the EAR if it meets both the product scope in paragraph (k)(1) of this section and the destination scope in paragraph (k)(2) of this section. See §§ 742.4(a)(4) and 742.6(a)(6)(i)(A) of the EAR for license requirements and exclusions to the license requirements and §§ 742.4(b)(2) and 742.6(b)(10) for license review policy applicable to foreign-produced commodities that are subject to the EAR under this paragraph (k).

    (1) Product scope. The product scope applies to a foreign-produced commodity specified in ECCN 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, or 3B002.c that meets the conditions of either paragraph (k)(1)(i) or (ii) of this section.

    (i) “Direct product” of “technology” or “software. ” A foreign-produced commodity meets the product scope of this paragraph (k) if the foreign-produced commodity is the “direct product” of “technology” or “software” subject to the EAR and specified in 3D992 or 3E992 of the CCL; or

    (ii) Product of a complete plant or `major component' of a plant that is a “direct product. ” A foreign-produced commodity meets the product scope of this paragraph if it meets either of the following conditions:

    (A) Is produced by any complete plant or `major component' of a plant that is located outside the United States, when the plant or `major component' of a plant, whether made in the United States or a foreign country, itself is a “direct product” of U.S.-origin “technology” or “software” that is specified in ECCN 3D001 (for 3B commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992, 3D993, 3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991 or 3B992), 3E992, 3E993, or 3E994 of the CCL; or

    (B) Contains a commodity produced by any complete plant or `major component' of a plant that is located outside the United States, when the complete plant or `major component' of a plant, whether made in the U.S. or a foreign country, itself is a “direct product” of U.S.-origin “technology” or “software” that is specified in ECCN 3D001 (for 3B commodities), 3D901, 3D991 (for 3B991 and 3B992), 3D992, 3D993, 3D994, 3E001 (for 3B commodities), 3E901 (for 3B903), 3E991 (for 3B991 or 3B992), 3E992, 3E993, or 3E994 of the CCL.

    Note 3 to paragraph (k)(1)(ii)(B):

    The product scope of paragraph (k)(1) is met if a foreign-produced commodity contains an integrated circuit that is produced by a complete plant or `major component' of a plant that itself is a “direct product” of U.S.-origin “technology” or “software” specified in the ECCNs described in paragraph (k)(1)(ii)(B). See Red Flag 26 in supplement no. 3 to part 732 for additional guidance on the scope of paragraph (k)(1). Production of an integrated circuit includes fabrication of the integrated circuit in a wafer, as well as assembly, testing, and packaging of the integrated circuit.

    (2) Destination scope of the SME FDP rule. A foreign-produced item meets the destination scope of this paragraph (k)(2) if there is “knowledge” that the foreign-produced item is destined to Macau or a destination in Country Group D:5 of supplement no. 1 to part 740 of the EAR.

    * * * * *

    6. Section 734.19 is revised to read as follows:

    Transfer of access information and export, reexport, and transfer (in-country) of software keys.

    (a) To the extent an authorization would be required to transfer “technology” or “software,” a comparable authorization is required to transfer “access information” if done with “knowledge” that such transfer would result in the release of such “technology” or “software” without a required authorization.

    Note 1 to paragraph (a):

    For purposes of this section, a release of “software” includes source code and object code.

    ( print page 96813)

    (b) Software keys, also called software license keys, that allow users the ability to use the “software” or hardware, or software keys that renew existing “software” or hardware use licenses, are classified and controlled under the same ECCNs on the CCL as the corresponding “software” or hardware to which they provide access. If authorization is required for the export, reexport, or transfer (in-country) of the “software” or hardware, the same level of authorization is required for the software key. If authorization is obtained for the export, reexport, or transfer (in-country) of the “software” or hardware, that authorization also applies to the corresponding software license key. If no authorization was required for the initial export of the “software” or hardware and the associated software key, but a license requirement is later imposed on the “software” or hardware, ( e.g., a license requirement is imposed because the end user becomes listed on the Entity List in supplement no. 4 to part 744), then subsequent exports, reexports, or transfers (in-country) of both the “software” and hardware, and the associated software license key will be subject to the new license requirement.

    Note 2 to paragraph (b):

    This paragraph does not apply to keys that unlock dormant functionality in an item. However, in some cases, changes to, or the addition of, features may impact the classification of the item.

    PART 736—GENERAL PROHIBITIONS

    7. The authority citation for part 736 is revised to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; E.O. 13338, 69 FR 26751, 3 CFR, 2004 Comp., p. 168; Notice of May 8, 2024, 89 FR 40355 (May 9, 2024); Notice of November 7, 2024, 89 FR 88867 (November 8, 2024).

    8. Section 736.2 is amended by revising paragraph (b)(3)(i) to read as follows:

    General prohibitions and determination of applicability.
    * * * * *

    (b) * * *

    (3) General Prohibition Three—Foreign-direct product (FDP) rules. (i) You may not, without a license or license exception, export from abroad, reexport, or transfer (in-country) foreign-“direct products” or other foreign-produced items subject to the EAR pursuant to § 734.9 if such items are subject to a license requirement in part 736, 742, 744, 746, or 764 of the EAR.

    * * * * *

    9. Supplement no. 1 to part 736 is amended by revising paragraphs (d)(1), (2), and (3), to read as follows:

    Supplement No. 1 to Part 736—General Orders

    * * * * *

    (d) * * *

    (1) TGL—Less restricted SME “parts,” “components,” or “equipment.” This TGL only overcomes the license requirements described in § 744.23(a)(4) of EAR when:

    (i) Product scope. The items subject to the EAR that are specified on the Commerce Control List (CCL) in supplement no. 1 to part 774 of the EAR, as follows:

    (A) ECCNs that are designated as controlled on the CCL only for AT reasons (3A991, 3B992, and associated “software” and “technology”); or

    (B) ECCN 3B001.c.4, 3B993.b.1, c.2, c.3, d.4, f.2, f.3, o.2, q.1, q.2, 3B994, 3D993.a (for commodities specified in this paragraph), 3D993.b through d, 3D994, 3E993.a (for commodities specified in this paragraph), 3E993.b, or 3E994; and

    (ii) End-use scope. The recipient is “developing” or “producing” “parts,” “components,” or “equipment” (as specified in §§ 744.23(a)(4) and 742.6(a)(11)) of the EAR) at the direction of a company that is headquartered in the United States or a destination specified in Country Group A:5 or A:6 and not majority-owned by an entity headquartered in either Macau or a destination specified in Country Group D:5.

    (2) TGL—Advanced computing items. This TGL only overcomes the license requirements described in § 742.6(a)(6)(iii) of the EAR when the criteria in paragraphs (d)(2)(i) and either (d)(2)(ii)(A) or (d)(2)(ii)(B) are met.

    (i) Product scope. The items subject to the EAR are specified in, either:

    (A) ECCNs 3A001.z; 3A090.a and 3A090.b; 3D001 (for “software” for commodities controlled by 3A001.z, 3A090.a and 3A090.b); 3E001 (for “technology” for commodities controlled by 3A001.z, 3A090.a and .b); 4A003.z; 4A004.z; 4A005.z; 4A090; 4D001 (for “software” for commodities controlled by 4A003.z, 4A004.z, and 4A005.z); 4D090; 4E001 (for “technology” for commodities controlled by 4A003.z, 4A004.z, 4A005.z, 4A090 or “software” specified by 4D001 (for 4A003.z, 4A004.z, and 4A005.z); 4D090; 5A002.z; 5A004.z; 5A992.z; 5D002.z; 5D992.z; 5E002 (for “technology” for commodities controlled by 5A002.z or 5A004.z or “software” specified by 5D002 (for 5A002.z or 5A004.z commodities)); or 5E992 (for “technology” for commodities controlled by 5A992.z or “software” controlled by 5D992.z) of the Commerce Control List (CCL); or

    (B) ECCN 3A090.c.

    (ii) End-use scope —(A) For all items under paragraph (d)(2)(i). Any item identified under the paragraph (d)(2)(i) of this supplement, may be exported, reexported, or transferred (in-country) to or within a destination specified in Country Groups D:1, D:4, or D:5 (and not specified in Country Groups A:5 or A:6) or for 3A090.c to or within Macau or a destination specified in Country Group D:5 when either of the following apply:

    ( 1) The end user is located in, but is not headquartered in, or whose ultimate parent company is not headquartered in, Macau or Country Group D:5 and the end use is to continue or engage in the following activities: integration, assembly (mounting), inspection, testing, quality assurance, and distribution of items covered by items specified in paragraph (d)(2)(i); and

    ( 2) The ultimate end user of these items is located outside of destinations specified in Country Groups D:1, D:4, or D:5 (and not specified in Country Groups A:5 or A:6) by entities not headquartered in or whose ultimate parent company is not headquartered in Macau or a destination specified in Country Group D:5.

    (B) Additional permitted ultimate end use for 3A090.c. ECCN 3A090.c commodities are authorized under this paragraph (d)(2)(ii) of this General Order No. 4 for use in any destination if the 3A090.c commodity is incorporated into another commodity, provided that the higher-level commodity is not identified in paragraph (d)(2)(i) of this General Order No. 4. If the higher-level commodity is identified under paragraph (d)(2)(i), of this General Order No. 4, then the ultimate end use of these items is authorized under this paragraph (d)(2)(ii) for destinations other than those specified in Country Groups D:1, D:4, or D:5 (and not specified in Country Groups A:5 or A:6) by entities not headquartered in, or whose ultimate parent company is not headquartered in, Macau or a destination specified in Country Group D:5. Any subsequent export, reexport, or transfer (in-country) of a 3A090.c commodity (regardless of whether it was incorporated into a higher-level commodity) would also need to comply with any other applicable EAR license requirements such as those based on the classification (including, if relevant, the higher-level commodity) and the end use and parties to the transaction.

    (3) Validity date follows:

    (i) Paragraph (d)(1)(i)(A) expires on December 31, 2026;

    (ii) Paragraph (d)(1)(i)(B) expires on December 31, 2026;

    (iii) Paragraph (d)(2)(i)(A) expires on December 31, 2025; and

    (iv) Paragraph (d)(2)(i)(B) expires on December 31, 2026.

    * * * * *

    PART 740—LICENSE EXCEPTIONS

    10. The authority citation for part 740 continues to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;22 U.S.C. 7201 et seq.;E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    11. Section 740.2 is amended by:

    a. Revising paragraph (a)(9)(i) and paragraph (a)(9)(ii) introductory text; ( print page 96814)

    b. Adding a new note to paragraph (a)(9)(ii).

    The revisions and addition read as follows:

    Restrictions on all License Exceptions.
    * * * * *

    (a) * * *

    (9) * * *

    (i) The item is controlled under ECCN 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3B993, 3B994, or associated software and technology in ECCN 3D001, 3D002, 3D003, 3D992, 3D993, 3D994, 3E001, 3E992, 3E993, or 3E994 and is being exported, exported from abroad, reexported, or transferred (in-country) to or within either Macau or a destination specified in Country Group D:5 of supplement no. 1 to this part, and the license exception is other than License Exception GOV, restricted to eligibility under the provisions of § 740.11(b).

    (ii) The item is identified in paragraph (a)(9)(ii)(A) or (B) of this section, is being exported, reexported, or transferred (in-country) to or within a destination specified in Country Group D:1, D:4, or D:5, excluding any destination also specified in Country Groups A:5 or A:6, or to an entity headquartered in or whose ultimate parent headquartered in, Macau or a destination specified in Country Group D:5, wherever located, and the license exception is other than: TMP, restricted to eligibility under the provisions of § 740.9(a)(6); NAC/ACA, under the provisions of § 740.8; RPL, under the provisions of § 740.10; GOV, restricted to eligibility under the provisions of § 740.11(b); TSU under the provisions of § 740.13(a) and (c); or HBM under the provisions of § 740.25. Items restricted to eligibility only for the foregoing license exceptions are:

    * * * * *

    Note 1 to paragraph (a)(9)(ii):

    ECCN 3A090.c requires a license for exports, reexports, and transfers (in-country) to or within Macau or destinations specified in Country Group D:5, but is still included within the scope of this paragraph because it generally shares the same EAR license exception eligibility as other 3A090 commodities, except for NAC/ACA, under the provisions of § 740.8., which ECCN 3A090.c is not eligible. An export, reexport, or transfer (in-country) of an ECCN 3A090.c commodity to a destination specified in Country Groups D:1 or D:4 that is not specified in Country Group D:5, may be made under the No License Required (NLR) designation, provided no part 744 or 746 license requirements are applicable.

    * * * * *

    12. Section 740.8 is amended by revising paragraph (a) introductory text to read as follows:

    Notified Advanced Computing (NAC) and Advanced Computing Authorized (ACA).

    (a) Eligibility requirements. License Exception NAC authorizes the export and reexport of any item classified in ECCN 3A090 (except for 3A090.c), 4A090, 3A001.z, 4A003.z, 4A004.z, 4A005.z, 5A002.z, 5A004.z, 5A992.z, 5D002.z, or 5D992.z, except for items designed or marketed for use in a datacenter and meeting the parameters of 3A090.a, to Macau and Country Group D:5 or an entity headquartered in, or whose ultimate parent headquartered in, Macau or a destination specified in Country Group D:5, wherever located. License Exception ACA authorizes the export, reexport, and transfer (in-country) of any item classified in ECCN 3A090 (except for 3A090.c), 4A090, 3A001.z, 4A003.z, 4A004.z, 4A005.z, 5A002.z, 5A004.z, 5A992.z, 5D002.z, or 5D992.z, except for items designed or marketed for use in a datacenter and meeting the parameters of 3A090.a, to or within any destination specified in Country Groups D:1 and D:4 (except Macau, a destination in Country Group D:5, or an entity headquartered in, or whose ultimate parent headquartered in, Macau or a destination specified in Country Group D:5, wherever located), as well as transfers (in-country) within Macau and destinations in Country Group D:5. These license exceptions may be used provided the export, reexport, or transfer (in-country) meets all of the applicable criteria identified under this paragraph (a) and none of the restrictions in paragraph (b) of this section.

    * * * * *

    13. Sections 740.25 and 740.26 are added to read as follows:

    License Exception High Bandwidth Memory (HBM).

    (a) Scope. This License Exception High Bandwidth Memory (HBM) authorizes the export, reexport, or transfer (in-country) of items specified in ECCN 3A090.c on the Commerce Control List (CCL) in supplement no. 1 to part 774 if all terms and conditions within this section are met.

    (b) Exporter, reexporter, transferor. The exporter, reexporter, or transferor must be headquartered in the United States or a destination specified in Country Group A:5 of supplement no. 1 to this part, without an ultimate parent headquartered in Macau or a destination specified in Country Group D:5 of supplement no. 1 to this part.

    (c) Conditions. The following exports, reexports, or transfers (in-country) are only authorized under this License Exception HBM if the 3A090.c item has a memory bandwidth density less than 3.3 GB/s/mm^2 and both of the following conditions apply:

    (1) The 3A090.c items exported, reexported, or transferred to or within Macau or a destination specified in Country Group D:5 must be directly purchased by the designer of the co-packaged commodity not otherwise prohibited from receipt of the item; and

    (2) The 3A090.c items must be exported, reexported, or transferred (in-country) directly to the packaging site.

    (i) For 3A090.c items exported, reexported, or transferred (in-country) to a U.S., or Country Group A:5 or A:6-headquartered packaging site without an ultimate parent headquartered in Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740:

    (A) The packaging site must confirm in writing to the producer of the chips that the 3A090.c item was packaged and exported, reexported, or transferred (in-country) to the specified designer of the co-packaged commodity. This confirmation is considered an “export control document” and is subject to recordkeeping requirements in part 762. A copy of this record must be provided to BIS upon request; and

    (B) The finished, co-packaged commodities must not exceed the technical thresholds in ECCN 3A090, unless packaging the item is permitted under the Temporary General License (TGL) specified in paragraph (d) of General Order No. 4 in of supplement no. 1 to part 736.

    (ii) For 3A090.c items exported, reexported, or transferred (in-country) to any other packaging site, the finished, co-packaged commodities must be sent back to the exporter, reexporter, or transferor for export, reexport, or transfer (in-country) to the purchaser:

    (A) Upon receipt of the finished, co-packaged commodities, the exporter, reexporter, transferor must confirm the number of 3A090.c units contained within the finished, co-packaged chips received from the packaging site matches the number of 3A090.c items exported, reexported, or transferred (in-country) to the packaging site. This confirmation is considered an “export control document” and is subject to recordkeeping requirements in part 762. A copy of this record must be provided to BIS upon request; and

    (B) The finished, co-packaged commodities must not exceed the technical thresholds in ECCN 3A090.a or 3A090.b. ( print page 96815)

    (d) Restrictions. The following exports, reexports, or transfers (in-country) of 3A090.c items are not authorized under this License Exception HBM:

    (1) To distributors.

    (2) To intermediate consignees, unless hired by the packaging site for freight forwarding or customs clearance.

    (3) To co-packaging at a “facility” located in Macau or a destination specified in Country Group D:5 where “production” of “advanced-node ICs” occurs.

    (e) Reporting requirement. In the event that the exporter, reexporter, or transferor identifies a discrepancy of greater than 1 percent between the number of 3A090.c units exported, reexported, or transferred (in-country) to the packaging site and the number of 3A090.c units contained within the finished, co-packaged commodities received from the packaging site under paragraph (c)(2)(ii) of this section, this generates a `Red Flag' that must be resolved before exporting, reexporting, or transferring (in-country) the finished, co-packaged commodities to the designer of the co-packaged commodity or engaging in any further exports, reexports, or transfers (in-country) of 3A090.c items to the designer of the co-packaged commodity or packaging site involved in the transaction that raised the Red Flag. If the Red Flag cannot be resolved, then within 60 days of identifying the discrepancy, the exporter, reexporter, or transferor must report the information in paragraph (e)(1) to BIS consistent with the requirements under paragraph (e)(2).

    (1) Information required. (i) Date of shipment;

    (ii) Quantity exported and quantity returned;

    (iii) Name of Consignee or designer of the co-packaged commodity;

    (iv) Name and address of the packaging site;

    (v) End use; and

    (vi) Explanation of measures already taken or planned to resolve the Red Flag.

    (2) Submission requirements. Reports must be provided in electronic form. Recommended file formats for electronic submission include spreadsheets, tabular text or structured text. Submitters may request other reporting arrangements with BIS to better reflect their business models. Reports are to be sent electronically to BIS at the email address: HBMReports@bis.doc.gov with the email subject line Attn: LE HBM Discrepancy Reports.

    License Exception Restricted Fabrication “Facility” (RFF).

    (a) Scope. License Exception Restricted Fabrication “Facility” (RFF) authorizes the export, reexport, export from abroad, and transfer (in-country) of items not specified in ECCNs 3B001, 3B002, 3B993, 3B994, 3D992, 3D993, 3D994, 3E992, 3E993, or 3E994. Additionally, this license exception does not overcome destination-based license requirements in part 742, end-use based license requirements in other sections of part 744, or license requirements that apply to other entities on the Entity List if other listed entities that are not eligible for this license exception are a party to the transaction.

    (b) Definition. A restricted fabrication “facility” is an entity that is on the Entity List in supplement no. 4 to part 744 of the EAR that has a reference to § 740.26 in the license requirement column.

    (c) Restrictions. License Exception RFF is subject to the following restrictions.

    (1) Items may not be used for the operation, installation, maintenance, repair, overhaul, or refurbishing of items specified in ECCNs 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3B993, or 3B994 at a `restricted fabrication facility'.

    (2) The item may not be used to produce “advanced-node integrated circuits.”

    (d) Notification requirements. Notifications must be sent to EARReports@bis.doc.gov. Subject line `LE RFF Notification pursuant to (d)(1)' for notifications required under (d)(1) and with the subject line `LE RFF Notification pursuant to (d)(2)' for notifications required under (d)(2) of this section.

    (1) 45-Days Prior. 45 days prior to exporting, reexporting, exporting from abroad, or transferring (in-country) items eligible under this license exception, a notification must be sent to BIS that includes the end-user's name and address, description of item(s), purchase price, and anticipated shipping date of shipment.

    (2) Within one business day. If you gain “knowledge” that the end use has changed to “advance-node integrated circuit” “production,” you must notify BIS within one business day.

    (e) Reporting requirements. Reports must be provided in electronic form. Recommended file formats for electronic submission include spreadsheets, tabular text, or structured text. Submitters may request other reporting arrangements with BIS to better reflect their business models. Reports are to be sent electronically to BIS at the email address: EARReports@bis.doc.gov. Subject line Attn: LE RFF Installation Reports for paragraph (e)(1) or Attn: LE RFF Annual Confirmation Report for paragraph (e)(2) of this section.

    (1) Installation. Within 30 days of installation of semiconductor manufacturing equipment, you must submit a report to BIS that includes the end-user's name and address, description of equipment that was installed, and date of installation. This email should reference previous notifications sent to BIS, including notifications.

    (2) Annual end-use confirmation. On February 1 of each year that the exporter, reexporter, or transferor is continuing to provide service or for at least for five years from the date of last service, you must submit a report to BIS that you have confirmed that the installed semiconductor manufacturing equipment is not being used in the production of “advanced-node integrated circuits.”

    * * * * *

    PART 742—CONTROL POLICY—CCL BASED CONTROLS

    14. The authority citation for part 742 continues to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;22 U.S.C. 3201 et seq.;42 U.S.C. 2139a; 22 U.S.C. 7201 et seq.;22 U.S.C. 7210; Sec. 1503, Pub. L. 108-11, 117 Stat. 559; E.O. 12058, 43 FR 20947, 3 CFR, 1978 Comp., p. 179; E.O. 12851, 58 FR 33181, 3 CFR, 1993 Comp., p. 608; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; Presidential Determination 2003-23, 68 FR 26459, 3 CFR, 2004 Comp., p. 320; Notice of November 7, 2024, 89 FR 88867 (November 8, 2024).

    15. Section 742.4 is amended by:

    (a) Revising paragraph (a)(4);

    (b) Redesignating paragraphs (a)(5)(ii)(B)(i) and (ii) as paragraphs (a)(5)(ii)(B)( 1) and ( 2); and

    (c) Revising paragraph (b)(2).

    The revisions read as follows:

    National security.

    (a) * * *

    (4) Certain semiconductor manufacturing equipment and associated software and technology —(i) Scope. A license is required for exports, reexports, exports from abroad, and transfers (in-country) to or within either Macau or a destination specified in Country Group D:5 in supplement no. 1 to part 740 of the EAR of items specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3D992, or 3E992. ( print page 96816)

    (ii) Exclusions. The license requirements in this paragraph (a)(4) do not apply to any of the following:

    (A) Deemed exports or deemed reexports.

    (B) An item subject to the EAR pursuant to §§ 734.4(a)(8) or 734.9(k) if the item is reexported or exported from abroad by an entity located in a country specified in supplement no. 4 to this part, and the entity is not headquartered or have an ultimate parent company headquartered in either Macau or a destination specified in Country Group D:5.

    (C) An item subject to the EAR pursuant to §§ 734.4(a)(8) or 734.9(k) if the item is reexported or exported from abroad by an entity located in a country that has implemented equivalent controls for items specified in paragraph (a)(4)(i) of this section, and the entity is not headquartered or have an ultimate parent company headquartered in either Macau or a destination specified in Country Group D:5. For the purposes of this paragraph, equivalent means the item is listed on the country's export control list and the country applies the same license review policy. To receive assistance in determining countries with equivalent controls, you may submit an advisory opinion in accordance with § 748.3(c) of the EAR.

    * * * * *

    (b) * * *

    (2) License applications for items specified in paragraph (a)(4) of this section will be reviewed consistent with license review policies in § 744.23(d) of the EAR. However, if § 744.23 does not apply, applications will be reviewed on a case-by-case basis if no license would be required under part 744 of the EAR. applications will be reviewed on a case-by-case basis if no license would be required under part 744 of the EAR.

    * * * * *

    16. Section 742.6 is amended by:

    a. Revising paragraphs (a)(6)(i) and (a)(6)(iii);

    c. Adding paragraph (a)(11);

    d. Revising paragraph (b)(10); and

    e. Adding paragraph (b)(12).

    The additions and revisions read as follows:

    Regional stability.

    (a) * * *

    (6) RS requirement that applies to advanced computing and semiconductor manufacturing items —(i) Exports, reexports, transfers (in-country) to or within Macau or Country Group D:5— (A) Certain semiconductor manufacturing equipment and associated software and technology —( 1) Scope. A license is required for exports, reexports, and transfers (in-country) to or within either Macau or a destination specified in Country Group D:5 in supplement no. 1 to part 740 of the EAR of items specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3D992, or 3E992.

    ( 2) Exclusions. The license requirements in this paragraph (a)(6)(i)(A) do not apply to any of the following:

    ( i) Deemed exports or deemed reexports.

    ( ii) An item subject to the EAR pursuant to §§ 734.4(a)(8) or 734.9(k) if the item is reexported or exported from abroad by an entity located in a country specified in supplement. no. 4 to this part, and the entity is not headquartered or have an ultimate parent company headquartered in Country Group D:5 or Macau.

    ( iii) An item subject to the EAR pursuant to §§ 734.4(a)(8) or 734.9(k) if the item is reexported or exported from abroad by an entity located in a country that has implemented equivalent controls for items specified in paragraph (a)(6)(i)(A)( 1) of this section, and the entity is not headquartered or have an ultimate parent company headquartered in Country Group D:5 or Macau. For the purposes of this paragraph, `equivalent' means the item is listed on the country's export control list and the country applies the same license review policy. To receive assistance in determining countries with equivalent controls, you may submit an advisory opinion request in accordance with § 748.3(c) of the EAR.

    (B) High Bandwidth Memory (HBM). A license is required for items specified in ECCNs 3A090.c, 3D001 (for 3A090.c), and 3E001 (for 3A090.c) when exported, reexported, or transferred (in-country) to or within Macau or a destination specified in Country Group D:5 in supplement no. 1 to part 740 of the EAR. The license requirements in this paragraph (a)(6)(i)(B) do not apply to deemed exports or deemed reexports.

    * * * * *

    (iii) Exports, reexports, transfers (in-country) to or within destinations specified in Country Groups D:1, D:4, and D:5, excluding destinations also specified in Country Groups A:5 or A:6. A license is required for items specified in ECCNs 3A001.z; 3A090 (except for 3A090.c); 3D001 (for “software” for commodities controlled by 3A001.z, 3A090 (except for 3A090.c)); 3E001 (for “technology” for commodities controlled by 3A001.z, 3A090 (except for 3A090.c)); 4A003.z; 4A004.z; 4A005.z; 4A090; 4D001 (for “software” for commodities controlled by 4A003.z, 4A004.z, and 4A005.z); 4D090 (for “software” for commodities controlled by 4A090); 4E001 (for “technology” for commodities controlled by 4A003.z, 4A004.z, 4A005.z, 4A090 or “software” specified by 4D001 (for 4A003.z, 4A004.z, and 4A005.z), 4D090 (for “software” for commodities controlled by 4A090)); 5A002.z; 5A004.z; 5A992.z; 5D002.z; 5D992.z; 5E002 (for “technology” for commodities controlled by 5A002.z or 5A004.z or “software” specified by 5D002 (for 5A002.z or 5A004.z commodities)); or 5E992 (for “technology” for commodities controlled by 5A992.z or “software” controlled by 5D992.z) being exported, reexported, or transferred (in-country) to or within a destination specified in Country Groups D:1, D:4, and D:5, excluding destinations also specified in Country Groups A:5 or A:6, in supplement no. 1 to part 740 of the EAR.

    * * * * *

    (11) License requirement for ECCN 3B993, 3B994, 3D993, 3D994, 3E993, and 3E994. A license is required for the export, reexport, or transfer (in-country) of items specified in ECCN 3B993, 3B994, 3D993, 3D994, 3E993, or 3E994 to a Footnote 5 designated entity listed on the Entity List in supplement no. 4 to part 744 of the EAR when the item is not subject to the EA pursuant to §§ 734.4(a)(9) or 734.9(e)(3) of the EAR. See § 744.11(a)(2)(v)(a) for license requirements for these items when subject to the EAR pursuant to §§ 734.4(a)(9) and 734.9(e)(3) of the EAR.

    (b) * * *

    (10) Advanced computing and semiconductor manufacturing items —(i) License review policy for paragraphs (a)(6)(i)(A) and (ii) of this section. License applications for items specified in paragraphs (a)(6)(i)(A) and (ii) of this section will be reviewed consistent with license review policies in § 744.23(d) of the EAR. However, if § 744.23 does not apply, applications will be reviewed on a case-by-case basis if no license would be required under part 744 of the EAR.

    (ii) License review policy for paragraph (a)(6)(i)(B) of this section (for 3A090.c). There is a presumption of approval review policy for license applications for items specified in paragraph (a)(6)(i)(B) to or within Macau or a destination specified in Country Group D:5 in supplement no. 1 to part 740 of the EAR for entities neither headquartered in nor whose ultimate parent company is headquartered in either Macau or a destination specified in Country Group D:5. There is a ( print page 96817) presumption of denial policy for all other license applications.

    (iii) License review policy for paragraph (a)(6)(iii) of this section. (A) License applications will be reviewed under a presumption of approval for export, reexport, or transfer (in-country) of items specified in paragraph (a)(6)(iii) to or within destinations other than Country Group D:5 or Macau or to entities not headquartered in or whose ultimate parent company is not headquartered in destinations in Country Group D:5 or Macau. (B) License applications will be reviewed under a presumption of denial for the export, reexport, or transfer (in-country) of items specified in paragraph (a)(6)(iii) of this section to or within destinations in Country Group D:5 or Macau, or to an entity headquartered in or whose ultimate parent company is headquartered in a destination in Country Group D:5 or Macau, unless either of the following apply, in which case they will be subject to a case-by-case license review policy if either of the following apply:

    ( 1) The commodity meets the parameters of 3A090.a and is not designed or marketed for use in a datacenter; or

    ( 2) The commodity meets the parameters of 3A090.b and is designed or marketed for use in a datacenter.

    * * * * *

    (12) License review policy for paragraph (a)(11). The license review policy for items subject to a license pursuant to paragraph (a)(11) of this section will be reviewed as specified in the license review policy column for the listed entity. See also § 744.11(a)(2)(v) of the EAR.

    * * * * *

    17. Supplement no. 4 to part 742 is added to read as follows:

    Supplement No. 4 to Part 742—Countries Excluded From Certain Semiconductor Manufacturing Equipment License Requirements

    Australia

    Austria

    Belgium

    Bulgaria

    Canada

    Croatia

    Czech Republic

    Denmark

    Estonia

    Finland

    France

    Germany

    Greece

    Hungary

    Iceland

    Ireland

    Italy

    Japan

    Latvia

    Lithuania

    Luxembourg

    Netherlands

    New Zealand

    Norway

    Poland

    Portugal

    Romania

    Slovakia

    Slovenia

    Spain

    Sweden

    Switzerland

    United Kingdom

    * * * * *

    PART 744—CONTROL POLICY: END-USER AND END-USE BASED

    18. The authority citation for part 744 is revised to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;22 U.S.C. 3201 et seq.;42 U.S.C. 2139a; 22 U.S.C. 7201 et seq.;22 U.S.C. 7210; E.O. 12058, 43 FR 20947, 3 CFR, 1978 Comp., p. 179; E.O. 12851, 58 FR 33181, 3 CFR, 1993 Comp., p. 608; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13099, 63 FR 45167, 3 CFR, 1998 Comp., p. 208; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; E.O. 13224, 66 FR 49079, 3 CFR, 2001 Comp., p. 786; Notice of September 18, 2024, 89 FR 77011 (September 20, 2024); Notice of November 7, 2024, 89 FR 88867 (November 8, 2024).

    19. Section 744.6 is amended by revising paragraph (c)(2)(iii) to read as follows:

    Restrictions on specific activities of “U.S. persons.”
    * * * * *

    (c) * * *

    (2) * * *

    (iii) Semiconductor manufacturing equipment. To or within either Macau or a destination specified in Country Group D:5, any item not subject to the EAR and meeting the parameters of ECCNs 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3D992, or 3E992 regardless of end use or end user.

    * * * * *

    20. Section 744.11 is amended by adding paragraph (a)(2)(v), to read as follows:

    License requirements that apply to entities acting or at significant risk of acting contrary to the national security or foreign policy interests of the United States.
    * * * * *

    (a) * * *

    (2) * * *

    (v) Footnote 5 entities— (A) License requirement. You may not, without a license, reexport, export from abroad, or transfer (in-country) to or within any destination or to any end user or party any foreign-produced item subject to the EAR pursuant to §§ 734.4(a)(9) or 734.9(e)(3), for each of the following paragraphs (A)( 1) through (A)( 4):

    ( 1) Exports from abroad or reexports from all countries. A license is required for commodities specified in ECCN 3B993 when exported from abroad or reexported by an entity headquartered in, or whose ultimate parent company is headquartered in, Macau or a destination specified in Country Group D:5.

    ( 2) Exports from abroad or reexports from countries in Country Group A:5 that are not in supplement no. 4 to part 742. A license is required for the export from abroad or reexport from countries specified in Country Group A:5 that are not in supplement no. 4 to part 742 of commodities specified in ECCN 3B993 if the commodity is not subject to equivalent controls by the relevant country.

    ( 3) Exports from abroad or reexports from all countries not listed in Country Group A:5. Exports from abroad or reexports from all countries not listed in Country Group A:5, as follows:

    ( i) A license is required for commodities specified in ECCNs 3B001 (except 3B001.a.4, c, d, f.1, f.5, g, h, k to n, p.2, p.4, r), 3B002 (except 3B002.c), 3B611, 3B903, 3B991 (except 3B991.b.2.a through 3B991.b.2.b), 3B992, 3B993, or 3B994 by an entity that is headquartered or whose ultimate parent company is headquartered in a country not specified in supplement no. 4 to part 742.

    ( ii) A license is required for commodities specified in ECCN 3B993 by an entity headquartered or whose ultimate parent company is headquartered in a country specified in supplement no. 4 to part 742 of this section.

    ( 4) Transfers (in-country). The commodity is to be transferred within the country of the entity specified by § 734.9(e)(3)(ii):

    ( i) By an entity whose ultimate parent company is headquartered in a country not described in supplement no. 4 to part 742 and the entity is transferring a commodity specified in ECCNs 3B001 (except 3B001.a.4, c, d, f.1, f.5, g, h, k to n, p.2, p.4, or r), 3B002 (except 3B002.c), 3B611, 3B903, 3B991 (except 3B991.b.2.a through 3B991.b.2.b), 3B992, 3B993, or 3B994; or

    ( ii) By an entity whose ultimate parent company is headquartered in a country described in supplement no. 4 to part 742 destination and the entity is transferring a commodity specified in ECCN 3B993.

    (B) License review policy. The license review policy is set forth in the entry of ( print page 96818) the Entity List in supplement no. 4 to this part for each entity with a Footnote 5 designation. Unless otherwise stated in the license review policy column of the specific entity, there is a case-by-case license review policy for items subject to the license requirements of this section where there is a foreign-made item that is not subject to the license requirements of this section and performs the same function as an item subject to the EAR license requirements of this section.

    * * * * *

    21. Section 744.23 is amended by:

    a. Adding paragraph (a)(2)(iii) and (iv); and

    b. Revising paragraphs (a)(3)(i), (a)(3)(ii) introductory text, (a)(3)(ii)(D). and (a)(4).

    The additions and revisions read as follows:

    “Supercomputer,” “advanced-node integrated circuits,” and semiconductor manufacturing equipment end use controls.
    * * * * *

    (a) * * *

    (2) * * *

    (iii) Design of “advanced-node ICs”. Any Electronic Computer Aided Design (ECAD) or Technology Computer Aided Design (TCAD) “software” and “technology” subject to the EAR when you “know” it will be used in the design of an “advanced-node integrated circuit” that will be “produced” in Macau or a destination specified in Country Group D:5 in supplement no. 1 to part 740 of the EAR.

    (iv) “Advanced Node IC” exclusion. Items specified in paragraphs (a)(2)(i) and (ii) destined to entities designated with a Footnote 5 are not subject to the license requirements in this section.

    (3) * * *

    (i) ECCNs 3A090, 4A090, and .z items destined to entities headquartered in, or whose ultimate parent company is headquartered in, either Macau or a destination specified in Country Group D:5 in certain destinations.

    (A) Any item subject to the EAR and specified in ECCNs 3A001.z, 3A090 (except for 3A090.c), 4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z, 5A004.z, 5A992.z, 5D002.z, or 5D992.z destined to any destination other than those specified in Country Groups D:1, D:4, or D:5 (excluding any destination also specified in Country Groups A:5 or A:6) for an entity that is headquartered in, or whose ultimate parent company is headquartered in, either Macau or a destination specified in Country Group D:5 ( e.g., a PRC-headquartered cloud or data server provider located outside of Country Groups D:1, D:4, or D:5 (excluding any destination also specified in Country Groups A:5 or A:6)), or

    (B) Any item subject to the EAR and specified in ECCN 3A090.c destined to any destination other than Macau or those specified in Country Group D:5, for an entity that is headquartered in, or whose ultimate parent company is headquartered in, either Macau or a destination specified in Country Group D:5.

    (ii) ECCN 3E001 (for 3A090, except for 3A090.c) “technology” when it meets all of the following:

    * * * * *

    (D) The “technology” is for the “production” of commodities or software specified in ECCN 3A001.z, 3A090 (except for 3A090.c), 4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z, 5A004.z, or 5A992.z.

    (4) Semiconductor manufacturing equipment (SME) and “components,” “assemblies,” and “accessories.” A license is required for export, reexport, or transfer (in-country) if paragraph (a)(4)(i) or (ii) of this section applies.

    (i) Directly destined to Macau and Country Group D:5. Any item subject to the EAR and specified on the CCL when destined to or within either Macau or a destination specified in Country Group D:5 for the “development” or “production” of “equipment,” “components,” “assemblies,” or “accessories” specified in ECCNs 3B001 (except 3B001.g and .h), 3B002, 3B611, 3B903, 3B991 (except 3B991.b.2.a through 3B991.b.2.b), 3B992, 3B993, 3B994 or associated “software” and “technology” in 3D or 3E of the CCL.

    (ii) Indirect exports, reexports, or transfers (in-country). Any item subject to the EAR and specified on the CCL for export, reexport, or transfer (in-country), if all of the following apply:

    (A) The item (either in its original form or as subsequently incorporated into a foreign-made item) is for “development” or “production” of a foreign-made item, whether subject to the EAR or not, that is specified in an ECCN listed in paragraph (a)(4)(i) of this section (and not excepted by that paragraph); and

    (B) The “development” or “production” is by an entity headquartered in, or whose ultimate parent is headquartered in, Macau or a destination specified in Country Group D:5.

    Note 1 to paragraph (a)(4):

    For transactions involving “development” or “production” in Macau or a destination specified in Country Group D:5 by an entity that is headquartered in Macau or a destination specified in Country Group D:5, but the “development” or “production” is undertaken at the direction of an entity headquartered in the United States or a destination specified in Country Group A:5 or A:6, refer to General Order No. 4 in supp. no. 1 to part 736 (Temporary General License—Less restricted SME “parts,” “components,” or “equipment”).

    * * * * *

    PART 758—EXPORT CLEARANCE REQUIREMENTS

    22. The authority citation for part 758 continues to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    23. Section 758.6 is amended by revising paragraph (a)(2) to read as follows:

    Destination control statement and other information furnished to consignees.

    (a) * * *

    (2) The ECCN(s) for any 3A001.z, 3A090, 4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z, 5A004.z, 5A992.z, 9x515 or “600 series” “items” being shipped ( i.e., exported in tangible form). For the seven ECCNs with a .z paragraph, the requirement to include the classification only applies to commodities specified under the .z paragraphs. If the commodity is specified under any other paragraph in one of those seven ECCNs, then the requirement under this paragraph is not applicable. For ECCN 3A090, identify the commodity as either 3A090.a, .b, or .c.

    * * * * *

    PART 762—RECORDKEEPING

    24. The authority citation for part 762 continues to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    25. Section 762.2 is amended by adding paragraph (b)(56) and (57) to read as follows:

    Records to be retained.
    * * * * *

    (b) * * *

    (56) § 740.25, License Exception HBM.

    (57) § 740.26, License Exception RFF.

    * * * * *

    PART 770—INTERPRETATIONS

    26. The authority citation for part 770 continues to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.; E.O. ( print page 96819) 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    27. Section 770.2 is amended by revising paragraph (o)(2)(i) to read as follows:

    Item interpretations.
    * * * * *

    (o) * * *

    (2) * * *

    (i) Any utilized existing commercial “software” or “technology” specified under ECCNs 3D991, 3D992, 3D993, 3E001, 3E991, 3E992, 3E993, 9D515.d, 9D515.e, 9E515.d or 9E515.e does not meet the “required” standard (as defined in part 772 of the EAR) of any other ECCN on the CCL; and

    Note 1 to paragraph (o)(2)(i):

    The use of existing commercial “software” or “technology” by or for the USG for the purposes described in paragraph (o)(1) of this section does not, in and of itself, establish the “required” standard to meet the specifications of any ECCN on the CCL.

    * * * * *

    PART 772—DEFINITIONS OF TERMS

    28. The authority citation for part 772 continues to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    29. Section 772.1 is amended by revising the definitions for “access information” and “Advanced-Node Integrated Circuit” to read as follows:

    Definitions of terms as used in the Export Administration Regulations (EAR).
    * * * * *

    Access information. For purposes of § 734.19(a), information that allows access to encrypted technology or encrypted software in an unencrypted form. Examples include decryption keys, network access codes, and passwords.

    * * * * *

    Advanced-Node Integrated Circuits (Advanced-Node IC). For parts 734 and 744 of the EAR, “advanced-node integrated circuits” include integrated circuits that meet any of the following criteria:

    (1) Logic integrated circuits using a non-planar transistor architecture or with a “production” `technology node' of 16/14 nanometers or less;

    (2) NOT AND (NAND) memory integrated circuits with 128 layers or more; or

    (3) Dynamic random-access memory (DRAM) integrated circuits having:

    (i) A memory cell area of less than 0.0019 µm2 ; or

    (ii) A memory density greater than 0.288 gigabits per square millimeter.

    Note 1 to definition of “Advanced-Node Integrated Circuits”:

    For the purposes of paragraph (1) of this definition, the term technology node refers to the Logic Industry “Node Range” figure described in the International Roadmap for Devices and Systems, 2016 edition (“More Moore” White Paper), available at: https://irds.ieee.org/​images/​files/​pdf/​2016_​MM.pdf.

    Note 2 to definition of “Advanced-Node Integrated Circuits”:

    For the purposes of paragraph (3) of this definition, the term memory density refers to the capacity of the package or stack comprising the DRAM integrated circuit measured in gigabytes divided by the footprint of the package or stack measured in square millimeters. In the case where a stack is contained in a package, use the area of the package. Cell area is defined as Wordline*Bitline (which takes into consideration both transistor and capacitor dimensions).

    * * * * *

    PART 774—THE COMMERCE CONTROL LIST

    30. The authority citation for part 774 continues to read as follows:

    Authority: 50 U.S.C. 4801-4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;10 U.S.C. 8720; 10 U.S.C. 8730(e); 22 U.S.C. 287c, 22 U.S.C. 3201 et seq.;22 U.S.C. 6004; 42 U.S.C. 2139a; 15 U.S.C. 1824; 50 U.S.C. 4305; 22 U.S.C. 7201 et seq.;22 U.S.C. 7210; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    31. Supplement no. 1 to part 774 is amended by:

    a. Revising ECCNs 3A090, 3B001, 3B002, 3B991, and 3B992;

    b. Adding ECCNs 3B993 and 3B994;

    c. Revising ECCNs 3D001 and 3D002;

    d. Adding ECCNs 3D992, 3D993, 3D994;

    e. Revising ECCN 3E001; and

    b. Adding ECCNs 3E992, 3E993, and 3E994.

    The additions and revisions read as follows:

    Supplement No. 1 to Part 774—the Commerce Control List

    * * * * *

    3A090 Integrated circuits as follows (see List of Items Controlled).

    License Requirements

    Reason for Control: RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    RS applies to entire entry, except 3A090.c To or within destinations specified in Country Groups D:1, D:4, and D:5 of supplement no. 1 to part 740 of the EAR, excluding any destination also specified in Country Groups A:5 or A:6. See § 742.6(a)(6)(iii) of the EAR.
    RS applies to 3A090.c To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6)(i)(B) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    LVS: N/A

    GBS: N/A

    NAC/ACA: Yes, for 3A090.a, if the item is not designed or marketed for use in datacenters and has a 'total processing performance' of 4800 or more; yes, for 3A090.b, if the item is designed or marketed for use in datacenters. N/A for 3A090.c.

    HBM: Yes, for 3A090.c. See § 740.25 of the EAR.

    List of Items Controlled

    Related Controls: (1) See ECCNs 3D001, 3E001, 5D002.z, and 5D992.z for associated technology and software controls. (2) See ECCNs 3A001.z, 5A002.z, 5A004.z, and 5A992.z.

    Related Definitions: N/A

    Items:

    a. Integrated circuits having one or more digital processing units having either of the following:

    a.1. A `total processing performance' of 4800 or more, or

    a.2. A `total processing performance' of 1600 or more and a `performance density' of 5.92 or more.

    b. Integrated circuits having one or more digital processing units having either of the following:

    b.1. A 'total processing performance' of 2400 or more and less than 4800 and a 'performance density' of 1.6 or more and less than 5.92, or

    b.2. A `total processing performance' of 1600 or more and a `performance density' of 3.2 or more and less than 5.92.

    Note 1 to 3A090.a and 3A090.b:

    3A090.a and 3A090.b do not apply to items that are not designed or marketed for use in datacenters and do not have a `total processing performance' of 4800 or more. For 3A090.a and 3A090.b items that are not designed or marketed for use in datacenters and that have a `total processing ( print page 96820) performance' of 4800 or more, see license exceptions NAC and ACA.

    Note 2 to 3A090.a and 3A090.b:

    Integrated circuits specified by 3A090 include graphical processing units (GPUs), tensor processing units (TPUs), neural processors, in-memory processors, vision processors, text processors, co-processors/accelerators, adaptive processors, field-programmable logic devices (FPLDs), and application-specific integrated circuits (ASICs). Examples of integrated circuits are in the Note to 3A001.a.

    Note 3 to 3A090.a and 3A090.b:

    For integrated circuits (ICs) that are excluded from ECCN 3A090 under Note 2 or 3 to 3A090, those ICs are also not applicable for classifications made under ECCNs 3A001.z, 4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z, 5A004.z, 5A992.z, 5D002.z, or 5D992.z because those other CCL classifications are based on the incorporation of an integrated circuit (IC) that meets the control parameters under ECCN 3A090 or otherwise meets or exceeds the control parameters or ECCNs 3A090 or 4A090. The performance parameters under ECCN 3A090.c are not used for determining whether an item is classified in a .z ECCN. See the Related Controls paragraphs of ECCNs 3A001.z, 4A003.z, 4A004.z, 4A005.z, 4A090, 5A002.z, 5A004.z, 5A992.z, 5D002.z, or 5D992.z.

    Technical Notes to 3A090.a and 3A090.b:

    1. `Total processing performance' (`TPP') is 2 × `MacTOPS' × `bit length of the operation', aggregated over all processing units on the integrated circuit.

    a. For purposes of 3A090, `MacTOPS' is the theoretical peak number of Tera (1012 ) operations per second for multiply-accumulate computation (D = A × B + C).

    b. The 2 in the `TPP' formula is based on industry convention of counting one multiply-accumulate computation, D = A × B + C, as 2 operations for purpose of datasheets. Therefore, 2 × MacTOPS may correspond to the reported TOPS or FLOPS on a datasheet.

    c. For purposes of 3A090, `bit length of the operation' for a multiply-accumulate computation is the largest bit-length of the inputs to the multiply operation.

    d. Aggregate the TPPs for each processing unit on the integrated circuit to arrive at a total. 'TPP' = TPP1 + TPP2 + . . . . + TPPn (where n is the number or processing units on the integrated circuit).

    2. The rate of `MacTOPS' is to be calculated at its maximum value theoretically possible. The rate of `MacTOPS' is assumed to be the highest value the manufacturer claims in annual or brochure for the integrated circuit. For example, the `TPP' threshold of 4800 can be met with 600 tera integer operations (or 2 × 300 `MacTOPS') at 8 bits or 300 tera FLOPS (or 2 × 150 `MacTOPS') at 16 bits. If the integrated circuit (IC) is designed for MAC computation with multiple bit lengths that achieve different `TPP' values, the highest `TPP' value should be evaluated against parameters in 3A090.

    3. For integrated circuits specified by 3A090 that provide processing of both sparse and dense matrices, the `TPP' values are the values for processing of dense matrices (e.g., without sparsity).

    4. `Performance density' is `TPP' divided by `applicable die area'. For purposes of 3A090, `applicable die area' is measured in millimeters squared and includes all die area of logic dies manufactured with a process node that uses a non-planar transistor architecture.

    c. High bandwidth memory (HBM) having a `memory bandwidth density' greater than 2 gigabytes per second per square millimeter.

    Technical note to 3A090.c:

    `Memory bandwidth density' is the memory bandwidth measured in gigabytes per second divided by the area of the package or stack measured in square millimeters. In the case where a stack is contained in a package, use the memory bandwidth of the packaged device and the area of the package. High bandwidth memory (HBM) includes dynamic random access memory integrated circuits, regardless of whether they conform to the JEDEC standards for high bandwidth memory, provided they have a `memory bandwidth density' greater than 2 gigabytes per second per square millimeter. This control does not cover co-packaged integrated circuits with both HBM and logic integrated circuit where the dominant function of the co-packaged integrated circuit is processing. It does include HBM permanently affixed to a logic integrated circuit designed as a control interface and incorporating a physical layer (PHY) function.

    * * * * *

    3B001 Equipment for the manufacturing of semiconductor devices, materials, or related equipment, as follows (see List of Items Controlled) and “specially designed” “components” and “accessories” therefor.

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    NS applies to 3B001.c.1.a, 3B001.c.1.c, and 3B001.q Worldwide control. See § 742.4(a)(5) and (b)(10) of the EAR.
    RS applies to 3B001.c.1.a, 3B001.c.1.c, and 3B001.q Worldwide control. See § 742.6(a)(10) and (b)(11) of the EAR.
    NS applies to 3B001.a.1 to a.3, b, e, f.2 to f.4, g to j NS Column 2.
    NS applies to 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.4(a)(4) of the EAR.
    RS applies to 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    LVS: $500, except semiconductor manufacturing equipment specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r.

    GBS: Yes, except a.3 (molecular beam epitaxial growth equipment using gas sources), c.1.a (Equipment designed or modified for isotropic dry etching), c.1.c (Equipment designed or modified for anisotropic dry etching), .e (automatic loading multi-chamber central wafer handling systems only if connected to equipment controlled by 3B001.a.3, or .f), .f (lithography equipment) and .q (“EUV” masks and reticles designed for integrated circuits, not specified by 3B001.g, and having a mask “substrate blank” specified by 3B001.j).

    IEC: Yes, for 3B001.c.1.a, c.1.c, and .q, see § 740.2(a)(22) and § 740.24 of the EAR.

    Special Conditions for STA

    STA: License Exception STA may not be used to ship 3B001.c.1.a, c.1.c, and .q to any of the destinations listed in Country Group A:5 or A:6 (See supplement no. 1 to part 740 of the EAR).

    List of Items Controlled

    Related Controls: See also 3B903 and 3B991. See ECCNs 3D001, 3D992, 3E001, and 3E992 for related “software” and “technology” controls.

    Related Definitions: N/A

    Items:

    a. Equipment designed for epitaxial growth as follows:

    a.1. Equipment designed or modified to produce a layer of any material other than silicon with a thickness uniform to less than ±2.5% across a distance of 75 mm or more;

    Note:

    3B001.a.1 includes atomic layer epitaxy (ALE) equipment.

    a.2. Metal Organic Chemical Vapor Deposition (MOCVD) reactors designed for compound semiconductor epitaxial growth of material having two or more of the following elements: aluminum, gallium, indium, arsenic, phosphorus, antimony, oxygen, or nitrogen;

    a.3. Molecular beam epitaxial growth equipment using gas or solid sources;

    a.4. Equipment designed for epitaxial growth of silicon (Si) or silicon germanium (SiGe), and having all of the following:

    a.4.a. At least one preclean chamber designed to provide a surface preparation means to clean the surface of the wafer; and ( print page 96821)

    a.4.b. An epitaxial deposition chamber designed to operate at a temperature equal to or below 958 K (685 °C).

    b. Semiconductor wafer fabrication equipment designed for ion implantation and having any of the following:

    b.1. [Reserved]

    b.2. Being designed and optimized to operate at a beam energy of 20 keV or more and a beam current of 10 mA or more for hydrogen, deuterium, or helium implant;

    b.3. Direct write capability;

    b.4. A beam energy of 65 keV or more and a beam current of 45 mA or more for high energy oxygen implant into a heated semiconductor material “substrate”; or

    b.5. Being designed and optimized to operate at beam energy of 20 keV or more and a beam current of 10mA or more for silicon implant into a semiconductor material “substrate” heated to 600 °C or greater;

    c. Etch equipment.

    c.1. Equipment designed for dry etching as follows:

    c.1.a. Equipment designed or modified for isotropic dry etching, having a largest `silicon germanium-to-silicon (SiGe:Si) etch selectivity' of greater than or equal to 100:1; or

    c.1.b. [Reserved]

    c.1.c. Equipment designed or modified for anisotropic dry etching, having all of the following;

    c.1.c.1. Radio Frequency (RF) power source(s) with at least one pulsed RF output;

    c.1.c.2. One or more fast gas switching valve(s) with switching time less than 300 milliseconds; and

    c.1.c.3. Electrostatic chuck with twenty or more individually controllable variable temperature elements;

    c.2. Equipment designed for wet chemical processing and having a largest `silicon germanium-to-silicon (SiGe:Si) etch selectivity' of greater than or equal to 100:1;

    c.3. Equipment designed for anisotropic dry etching having all of following:

    c.3.a Two or more RF independent sources;

    c.3.b Two or more independent gas sources;

    c.3.c `Process uniformity tuning' for wafer thickness variation compensation; and

    c.3.d Through Silicon Via (TSV) reveal Endpoint Detection (EPD);

    c.4. Equipment designed for Through Silicon Via (TSV) etch having all of the following:

    c.4.a. Silicon etch rate greater than 7 microns per minute;

    c.4.b. Within wafer (WIW) etch depth non-uniformity of less than or equal 2 percent; and

    c.4.c. A Through Silicon Via (TSV) aspect ratio greater than or equal to 10:1.

    Note 1:

    3B001.c includes etching by `radicals', ions, sequential reactions, or non-sequential reaction.

    Note 2:

    3B001.c.1.c includes etching using RF pulse excited plasma, pulsed duty cycle excited plasma, pulsed voltage on electrodes modified plasma, cyclic injection and purging of gases combined with a plasma, plasma atomic layer etching, or plasma quasi-atomic layer etching.

    Technical Notes:

    1. For the purposes of 3B001.c, `silicon germanium-to-silicon (SiGe:Si) etch selectivity' is measured for a Ge concentration of greater than or equal to 30% (Si0.70 Ge0.30).

    2. For the purposes of 3B001.c Note 1 and 3B001.d.14, `radical' is defined as an atom, molecule, or ion that has an unpaired electron in an open electron shell configuration.

    3. For the purposes of 3B001.c.3, `process uniformity tuning' is the process of compensating for incoming wafer thickness variations after grinding.

    d. Semiconductor manufacturing deposition equipment, as follows:

    d.1. Equipment designed for cobalt (Co) electroplating or cobalt electroless-plating deposition processes;

    Note:

    3B001.d.1 controls semiconductor wafer processing equipment.

    d.2. Equipment designed for:

    d.2.a. Chemical vapor deposition of cobalt (Co) fill metal; or

    d.2.b. Selective bottom-up chemical vapor deposition of tungsten (W) fill metal;

    d.3. Semiconductor manufacturing equipment designed to fabricate a metal contact by multistep processing within a single chamber by performing all of the following:

    d.3.a. Deposition of a tungsten layer, using an organometallic compound, while maintaining the wafer substrate temperature greater than 100 °C and less than 500 °C; and

    d.3.b. Surface treatment plasma process using hydrogen (H2), hydrogen and nitrogen (H2+N2), or ammonia (NH3).

    d.4. Equipment or systems designed for multistep processing in multiple chambers or stations, as follows:

    d.4.a. Equipment designed to fabricate a metal contact by performing all of the following processes:

    d.4.a.1. Surface treatment plasma process using hydrogen (H2), including hydrogen and nitrogen (H2 + N2) or ammonia (NH3), while maintaining the wafer substrate at a temperature greater than 100 °C and less than 500 °C;

    d.4.a.2. Surface treatment plasma process using oxygen (O2) or ozone (O3), while maintaining the wafer substrate at a temperature greater than 40 °C and less than 500 °C; and

    d.4.a.3. Deposition of a tungsten (W) layer while maintaining the wafer substrate temperature greater than 100 °C and less than 500 °C;

    d.4.b. Equipment designed to fabricate a metal contact by performing all of the following processes:

    d.4.b.1 Surface treatment process using a remote plasma generator and an ion filter; and

    d.4.b.2. Deposition of a cobalt (Co) layer selectively onto copper (Cu) using an organometallic compound;

    Note:

    This control does not apply to equipment that is non-selective.

    d.4.c. Equipment designed to fabricate a metal contact by performing all the following processes:

    d.4.c.1. Deposition of a titanium nitride (TiN) or tungsten carbide (WC) layer, using an organometallic compound, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C;

    d.4.c.2. Deposition of a cobalt (Co) layer using a physical sputter deposition technique and having a process pressure greater than 133.3 mPa and less than 13.33 Pa, while maintaining the wafer substrate at a temperature below 500 °C; and

    d.4.c.3. Deposition of a cobalt (Co) layer using an organometallic compound and having a process pressure greater than 133.3 Pa and less than 13.33 kPa, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C;

    d.4.d. Equipment designed to fabricate copper (Cu) interconnects by performing all of the following processes:

    d.4.d.1. Deposition of a cobalt (Co) or ruthenium (Ru) layer using an organometallic compound and having a process pressure greater than 133.3 Pa and less than 13.33 kPa, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C; and

    d.4.d.2. Deposition of a copper layer using a physical vapor deposition technique and having a process pressure greater than 133.3 mPa and less than 13.33 Pa, while maintaining the wafer substrate at a temperature below 500 °C;

    d.5. Equipment designed for plasma enhanced chemical vapor deposition of carbon hard masks more than 2 um thick and with density of greater than 1.7g/cc;

    d.6. Atomic Layer Deposition (ALD) equipment designed for area selective deposition of a barrier or liner using an organometallic compound;

    Note:

    3B001.d.6 includes equipment capable of area selective deposition of a barrier layer to enable fill metal contact to an underlying electrical conductor without a barrier layer at the fill metal via interface to an underlying electrical conductor.

    d.7. Equipment designed for Atomic Layer Deposition (ALD) of tungsten (W) to fill an entire interconnect or in a channel less than 40 nm wide, while maintaining the wafer substrate at a temperature less than 500 °C.

    d.8. Equipment designed for Atomic Layer Deposition (ALD) of `work function metal' having all of the following:

    d.8.a. More than one metal source of which one is designed for an aluminum (Al) precursor;

    d.8.b. Precursor vessel designed and enabled to operate at a temperature greater than 30 °C; and

    d.8.c. Designed for depositing a 'work function metal' having all of the following:

    d.8.c.1. Deposition of titanium-aluminum carbide (TiAlC); and

    d.8.c.2. Enabling a work function greater than 4.0 eV;

    Technical Note:

    For the purposes of 3B001.d.8, `work function metal' is a material that controls the threshold voltage of a transistor.

    d.9. Spatial Atomic Layer Deposition (ALD) equipment having a wafer support platform that rotates around an axis having any of the following: ( print page 96822)

    d.9.a. A spatial plasma enhanced atomic layer deposition mode of operation;

    d.9.b. A plasma source; or

    d.9.c. A plasma shield or means to confine the plasma to the plasma exposure process region;

    d.10. Equipment designed for Atomic Layer Deposition (ALD) or Chemical Vapor Deposition (CVD) of plasma enhanced of low fluorine tungsten (FW) (fluorine (F) concentration less than 1019 atoms/cm3 ) films;

    d.11. [Reserved]

    d.12. Equipment designed for depositing a metal layer, and having any of the following:

    d.12.a. Selective tungsten (W) growth without a barrier; or

    d.12.b. Selective molybdenum (Mo) growth without a barrier;

    d.13. Equipment designed for depositing a ruthenium layer (Ru) using an organometallic compound, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C;

    d.14. Equipment designed for deposition assisted by remotely generated `radicals', enabling the fabrication of a silicon (Si) and carbon (C) containing film, and having all of the following properties of the deposited film:

    d.14.a. A dielectric constant (k) of less than 4.4;

    d.14.b. In features with an aspect ratio greater than 5:1 with lateral openings of less than 35 nm; and

    d.14.c. A feature-to-feature pitch of less than 45 nm;

    d.15. Equipment designed for void free plasma enhanced deposition of a low-k dielectric layer in gaps between metal lines less than 25 nm and having an aspect ratio greater than or equal to 1:1 with a less than 3.3 dielectric constant;

    d.16. [Reserved]

    d.17. Equipment designed for plasma enhanced chemical vapor deposition (PECVD) or radical assisted chemical vapor deposition and UV curing in a single platform of a dielectric film, while maintaining a substrate temperature below 500 °C, having all of the following:

    d.17.a. A thickness of more than 6 nm and less than 20 nm on metal features having less than 24 nm pitch and having an aspect ratio equal to or greater than 1:1.8; and

    d.17.b. A dielectric constant less than 3.0;

    d.18. Equipment designed or modified for Atomic Layer Deposition (ALD) of molybdenum (Mo), ruthenium (Ru), or combinations Mo or Ru, and having all of the following:

    d.18.a. A metal precursor source designed or modified to operate at a temperature greater 75 °C; and

    d.18.b. A process chamber (module) using a reducing agent containing hydrogen (H) at a pressure greater than or equal to 30 Torr (4 kPa).

    Note:

    For the purposes of paragraph d.18.a, the metal precursor source need not be integrated with the equipment. The metal precursor could be delivered by an on-tool source or from a sub-fab source.

    d.19. Deposition equipment having direct-liquid injection of more than two metal precursors, designed or modified to deposit a conformal dielectric film with a dielectric constant (K) greater than 40 in features with aspect ratio greater than 200:1 in a single deposition chamber.

    d.20. Physical vapor deposition equipment having electromagnets for ion flux guidance, and “specially designed” to deposit tungsten (W) metal into features having an aspect ratio of 3:1 or greater.

    e. Automatic loading multi-chamber central wafer handling systems having all of the following:

    e.1. Interfaces for wafer input and output, to which more than two functionally different `semiconductor process tools' controlled by 3B001.a, .b., .c, and .d are designed to be connected; and

    e.2. Designed to form an integrated system in a vacuum environment for `sequential multiple wafer processing';

    Note:

    3B001.e does not control automatic robotic wafer handling systems “specially designed” for parallel wafer processing.

    Technical Notes:

    1. For the purposes of 3B001.e, `semiconductor process tools' refers to modular tools that provide physical processes for semiconductor “production” that are functionally different, such as deposition, implant or thermal processing.

    2. For the purposes of 3B001.e, `sequential multiple wafer processing' means the capability to process each wafer in different `semiconductor process tools', such as by transferring each wafer from one tool to a second tool and on to a third tool with the automatic loading multi-chamber central wafer handling systems.

    f. Lithography equipment as follows:

    f.1. Align and expose step and repeat (direct step on wafer) or step and scan (scanner) equipment for wafer processing using photo-optical or X-ray methods and having any of the following:

    f.1.a. A light source wavelength shorter than 193 nm; or

    f.1.b. A light source wavelength equal to or longer than 193 nm and having all of the following:

    f.1.b.1. The capability to produce a pattern with a “Minimum Resolvable Feature size” (MRF) of 45 nm or less; and

    f.1.b.2. A maximum 'dedicated chuck overlay' value of less than or equal to 1.50 nm;

    Technical Notes:

    For the purposes of 3B001.f.1.b:

    1. The `Minimum Resolvable Feature size' (MRF) ( i.e., resolution) is calculated by the following formula:

    where, for the purposes of 3B001.f.1.b, the K factor = 0.25 `MRF' is also known as resolution.

    2. `Dedicated chuck overlay' is the alignment accuracy of a new pattern to an existing pattern printed on a wafer by the same lithographic system. `Dedicated chuck overlay' is also known as single machine overlay.

    f.2. Imprint lithography equipment capable of production features of 45 nm or less;

    Note: 3B001.f.2 includes:

    Micro contact printing tools

    Hot embossing tools

    Nano-imprint lithography tools

    Step and flash imprint lithography (S-FIL) tools

    f.3. Equipment “specially designed” for mask making having all of the following:

    f.3.a. A deflected focused electron beam, ion beam or “laser” beam; and

    f.3.b. Having any of the following:

    f.3.b.1. A Full-Width Half-Maximum (FWHM) spot size smaller than 65 nm and an image placement less than 17 nm (mean + 3 sigma); or

    f.3.b.2. [Reserved]

    f.3.b.3. A second-layer overlay error of less than 23 nm (mean + 3 sigma) on the mask;

    f.4. Equipment designed for device processing using direct writing methods, having all of the following:

    f.4.a. A deflected focused electron beam; and

    f.4.b. Having any of the following:

    f.4.b.1. A minimum beam size equal to or smaller than 15 nm; or

    f.4.b.2. An overlay error less than 27 nm (mean + 3 sigma);

    f.5. Imprint lithography equipment having an overlay accuracy less (better) than 1.5;

    g. Masks and reticles, designed for integrated circuits controlled by 3A001;

    h. Multi-layer masks with a phase shift layer not specified by 3B001.g and designed to be used by lithography equipment having a light source wavelength less than 245 nm;

    Note:

    3B001.h does not control multi-layer masks with a phase shift layer designed for the fabrication of memory devices not controlled by 3A001.

    N.B.:

    For masks and reticles, “specially designed” for optical sensors, see 6B002.

    ( print page 96823)

    i. Imprint lithography templates designed for integrated circuits by 3A001;

    j. Mask “substrate blanks” with multilayer reflector structure consisting of molybdenum and silicon, and having all of the following:

    j.1. “Specially designed” for “Extreme Ultraviolet” (“EUV”) lithography; and

    j.2. Compliant with SEMI Standard P37;

    k. Equipment designed for ion beam deposition or physical vapor deposition of a multi-layer reflector for “EUV” masks;

    l. “EUV” pellicles;

    m. Equipment for manufacturing “EUV” pellicles;

    n. Equipment designed for coating, depositing, baking, or developing photoresist formulated for “EUV” lithography;

    o. [Reserved]

    p. Removal and cleaning equipment as follows:

    p.1. [Reserved]

    p.2. Single wafer wet cleaning equipment with surface modification drying; or

    p.3. [Reserved]

    p.4. Equipment designed for single wafer cleaning using supercritical CO2 or sublimation drying;

    q. “EUV” masks and “EUV” reticles, designed for integrated circuits, not specified by 3B001.g, and having a mask “substrate blank” specified by 3B001.j; or

    Technical Notes:

    For the purposes of 3B001.q, masks or reticles with a mounted pellicle are considered masks and reticles.

    r. Equipment designed for EUV `pattern shaping.'

    Technical Note:

    For the purposes of 3B001.r, `pattern shaping' is a deposition or removal process used to improve overall patterning by reshaping or trimming patterns produced using EUV lithography with non-vertical directed particles including ions, neutral particles, clusters, radicals, or light.

    3B002 Test or inspection equipment “specially designed” for testing or inspecting finished or unfinished semiconductor devices as follows (see List of Items Controlled) and “specially designed” “components” and “accessories” therefor.

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    NS applies to 3B002.a and b NS Column 2.
    NS applies to 3B002.c To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.4(a)(4) of the EAR.
    RS applies to 3B002.c To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    LVS: $500, except semiconductor manufacturing equipment specified in 3B002.c.

    GBS: Yes

    List of Items Controlled

    Related Controls: See also 3A999.a, 3B992, and 3B993.

    Related Definitions: N/A

    Items:

    a. For testing S-parameters of items specified by 3A001.b.3.

    b. For testing microwave integrated circuits controlled by 3A001.b.2.

    c. Inspection equipment designed for “EUV” mask blanks or “EUV” patterned masks.

    * * * * *

    3B991 Equipment not controlled by 3B001, 3B993, or 3B994, for the manufacture of electronic “parts,” “components,” and materials, and “specially designed” “parts,” “components,” and “accessories” therefor.

    License Requirements

    Reason for Control: AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    LVS: N/A

    GBS: N/A

    List of Items Controlled

    Related Controls: N/A

    Related Definitions: `Sputtering' is an overlay coating process wherein positively charged ions are accelerated by an electric field towards the surface of a target (coating material). The kinetic energy of the impacting ions is sufficient to cause target surface atoms to be released and deposited on the substrate. Note: Triode, magnetron or radio frequency sputtering to increase adhesion of coating and rate of deposition are ordinary modifications of the process.

    Items:

    a. Equipment “specially designed” for the manufacture of electron tubes, optical elements, and “specially designed” “parts” and “components” therefor controlled by 3A001 or 3A991;

    b. Equipment “specially designed” for the manufacture of semiconductor devices, integrated circuits and “electronic assemblies,” as follows, and systems incorporating or having the characteristics of such equipment:

    Note:

    3B991.b also controls equipment used or modified for use in the manufacture of other devices, such as imaging devices, electro-optical devices, acoustic-wave devices.

    b.1. Equipment for the processing of materials for the manufacture of devices, “parts,” and “components” as specified in the heading of 3B991.b, as follows:

    Note:

    3B991 does not control quartz furnace tubes, furnace liners, paddles, boats (except “specially designed” caged boats), bubblers, cassettes or crucibles “specially designed” for the processing equipment controlled by 3B991.b.1.

    b.1.a. Equipment for producing polycrystalline silicon and materials controlled by 3C001;

    b.1.b. Equipment “specially designed” for purifying or processing III/V and II/VI semiconductor materials controlled by ECCNs 3C001, 3C002, 3C003, 3C004, or 3C005 except crystal pullers, for which see 3B991.b.1.c below;

    b.1.c. Crystal pullers and furnaces, as follows:

    Note:

    3B991.b.1.c does not control diffusion and oxidation furnaces.

    b.1.c.1. Annealing or recrystallizing equipment other than constant temperature furnaces employing high rates of energy transfer capable of processing wafers at a rate exceeding 0.005 m2 per minute;

    b.1.c.2. “Stored program controlled” crystal pullers having any of the following characteristics:

    b.1.c.2.a. Rechargeable without replacing the crucible container;

    b.1.c.2.b. Capable of operation at pressures above 2.5 × 105 Pa; or

    b.1.c.2.c. Capable of pulling crystals of a diameter exceeding 100 mm;

    b.1.d. “Stored program controlled” equipment for epitaxial growth having any of the following characteristics:

    b.1.d.1. Capable of producing silicon layer with a thickness uniform to less than ±2.5% across a distance of 200 mm or more;

    b.1.d.2. Capable of producing a layer of any material other than silicon with a thickness uniformity across the wafer of equal to or better than ±3.5%; or

    b.1.d.3. Rotation of individual wafers during processing;

    b.1.e. Molecular beam epitaxial growth equipment;

    b.1.f. Magnetically enhanced 'sputtering' equipment with “specially designed” integral load locks capable of transferring wafers in an isolated vacuum environment;

    b.1.g. Equipment “specially designed” for ion implantation, ion-enhanced, or photo-enhanced diffusion, having any of the following characteristics:

    b.1.g.1. Patterning capability;

    b.1.g.2. Beam energy (accelerating voltage) exceeding 200 keV;

    b.1.g.3 Optimized to operate at a beam energy (accelerating voltage) of less than 10 keV; or ( print page 96824)

    b.1.g.4. Capable of high energy oxygen implant into a heated “substrate”;

    b.1.h. “Stored program controlled” equipment for the selective removal ( i.e., etching) by means of anisotropic dry methods ( e.g., plasma), as follows:

    b.1.h.1. Batch types having either of the following:

    b.1.h.1.a. End-point detection, other than optical emission spectroscopy types; or

    b.1.h.1.b. Reactor operational (etching) pressure of 26.66 Pa or less;

    b.1.h.2. Single wafer types having any of the following:

    b.1.h.2.a. End-point detection, other than optical emission spectroscopy types;

    b.1.h.2.b. Reactor operational (etching) pressure of 26.66 Pa or less; or

    b.1.h.2.c. Cassette-to-cassette and load locks wafer handling;

    Note 1:

    “Batch types” refers to machines not “specially designed” for production processing of single wafers. Such machines can process two or more wafers simultaneously with common process parameters (e.g., RF power, temperature, etch gas species, flow rates).

    Note 2:

    “Single wafer types” refers to machines “specially designed” for production processing of single wafers. These machines may use automatic wafer handling techniques to load a single wafer into the equipment for processing. The definition includes equipment that can load and process several wafers but where the etching parameters (e.g., RF power or end point) can be independently determined for each individual wafer.

    b.1.i. “Chemical vapor deposition” (CVD) equipment ( e.g., plasma-enhanced CVD (PECVD) or photo-enhanced CVD) for semiconductor device manufacturing, having either of the following capabilities, for deposition of oxides, nitrides, metals, or polysilicon:

    b.1.i.1. “Chemical vapor deposition” equipment operating below 105 Pa; or

    b.1.i.2. PECVD equipment operating either below 60 Pa (450 millitorr) or having automatic cassette-to-cassette and load lock wafer handling;

    Note:

    3B991.b.1.i does not control low pressure “chemical vapor deposition” (LPCVD) systems or reactive “sputtering” equipment.

    b.1.j. Electron beam systems “specially designed” or modified for mask making or semiconductor device processing having any of the following characteristics:

    b.1.j.1. Electrostatic beam deflection;

    b.1.j.2. Shaped, non-Gaussian beam profile;

    b.1.j.3. Digital-to-analog conversion rate exceeding 3 MHz;

    b.1.j.4. Digital-to-analog conversion accuracy exceeding 12 bit; or

    b.1.j.5. Target-to-beam position feedback control precision of 1 micrometer or finer;

    Note:

    3B991.b.1.j does not control electron beam deposition systems or general purpose scanning electron microscopes.

    b.1.k. Surface finishing equipment for the processing of semiconductor wafers as follows:

    b.1.k.1. “Specially designed” equipment for backside processing of wafers thinner than 100 micrometer and the subsequent separation thereof; or

    b.1.k.2. “Specially designed” equipment for achieving a surface roughness of the active surface of a processed wafer with a two-sigma value of 2 micrometer or less, total indicator reading (TIR);

    Note:

    3B991.b.1.k does not control single-side lapping and polishing equipment for wafer surface finishing.

    b.1.l. Interconnection equipment which includes common single or multiple vacuum chambers “specially designed” to permit the integration of any equipment controlled by 3B991 into a complete system;

    b.1.m. “Stored program controlled” equipment using “lasers” for the repair or trimming of “monolithic integrated circuits” with either of the following characteristics:

    b.1.m.1. Positioning accuracy less than ±1 micrometer; or

    b.1.m.2. Spot size (kerf width) less than 3 micrometer.

    b.2. Masks, mask “substrates,” mask-making equipment and image transfer equipment for the manufacture of devices, “parts” and “components” as specified in the heading of 3B991, as follows:

    Note:

    The term “masks” refers to those used in electron beam lithography, X-ray lithography, and ultraviolet lithography, as well as the usual ultraviolet and visible photo-lithography.

    b.2.a. Finished masks, reticles and designs therefor, except:

    b.2.a.1. Finished masks or reticles for the production of unembargoed integrated circuits; or

    b.2.a.2. Masks or reticles, having both of the following characteristics:

    b.2.a.2.a. Their design is based on geometries of 2.5 micrometer or more; and

    b.2.a.2.b. The design does not include special features to alter the intended use by means of production equipment or “software”;

    b.2.b. Mask “substrates” as follows:

    b.2.b.1. Hard surface ( e.g., chromium, silicon, molybdenum) coated “substrates” ( e.g., glass, quartz, sapphire) for the preparation of masks having dimensions exceeding 125 mm x 125 mm; or

    b.2.b.2. “Substrates” “specially designed” for X-ray masks;

    b.2.c. Equipment, other than general purpose computers, “specially designed” for computer aided design (CAD) of semiconductor devices or integrated circuits;

    b.2.d. Equipment or machines, as follows, for mask or reticle fabrication:

    b.2.d.1. Photo-optical step and repeat cameras capable of producing arrays larger than 100 mm x 100 mm, or capable of producing a single exposure larger than 6 mm x 6 mm in the image ( i.e., focal) plane, or capable of producing line widths of less than 2.5 micrometer in the photoresist on the “substrate”;

    b.2.d.2. Mask or reticle fabrication equipment using ion or “laser” beam lithography capable of producing line widths of less than 2.5 micrometer; or

    b.2.d.3. Equipment or holders for altering masks or reticles or adding pellicles to remove defects;

    Note:

    3B991.b.2.d.1 and b.2.d.2 do not control mask fabrication equipment using photo-optical methods which was either commercially available before the 1st of January, 1980, or has a performance no better than such equipment.

    b.2.e. “Stored program controlled” equipment for the inspection of masks, reticles or pellicles with:

    b.2.e.1. A resolution of 0.25 micrometer or finer; and

    b.2.e.2. A precision of 0.75 micrometer or finer over a distance in one or two coordinates of 63.5 mm or more;

    Note:

    3B991.b.2.e does not control general purpose scanning electron microscopes except when “specially designed” and instrumented for automatic pattern inspection.

    b.2.f. Align and expose equipment for wafer production using photo-optical or X-ray methods ( e.g., lithography equipment) including both projection image transfer equipment and step and repeat ( i.e., direct step on wafer) or step and scan (scanner) equipment, capable of performing any of the following functions:

    Note:

    3B991.b.2.f does not control photo-optical contact and proximity mask align and expose equipment or contact image transfer equipment.

    b.2.f.1. Production of a pattern size of less than 2.5 micrometer;

    b.2.f.2. Alignment with a precision finer than ±0.25 micrometer (3 sigma);

    b.2.f.3. Machine-to-machine overlay no better than ±0.3 micrometer; or

    b.2.f.4. A light source wavelength shorter than 400 nm;

    b.2.g. Electron beam, ion beam or X-ray equipment for projection image transfer capable of producing patterns less than 2.5 micrometer;

    Note:

    For focused, deflected-beam systems(direct write systems), see 3B991.b.1.j or b.10.

    b.2.h. Equipment using “lasers” for direct write on wafers capable of producing patterns less than 2.5 micrometer.

    b.3. Equipment for the assembly of integrated circuits, as follows:

    b.3.a. “Stored program controlled” die bonders having all of the following characteristics:

    b.3.a.1. “Specially designed” for “hybrid integrated circuits”;

    b.3.a.2. X-Y stage positioning travel exceeding 37.5 × 37.5 mm; and

    b.3.a.3. Placement accuracy in the X-Y plane of finer than ±10 micrometer;

    b.3.b. “Stored program controlled” equipment for producing multiple bonds in a single operation ( e.g., beam lead bonders, chip carrier bonders, tape bonders);

    b.3.c. Semi-automatic or automatic hot cap sealers, in which the cap is heated locally to a higher temperature than the body of the package, “specially designed” for ceramic microcircuit packages controlled by 3A001 and that have a throughput equal to or more than one package per minute.

    Note:

    3B991.b.3 does not control general purpose resistance type spot welders.

    b.4. Filters for clean rooms capable of providing an air environment of 10 or less ( print page 96825) particles of 0.3 micrometer or smaller per 0.02832 m3 and filter materials therefor.

    3B992 Equipment not controlled by 3B002, 3B993, or 3B994, for the inspection or testing of electronic “components” and materials, (see List of Items Controlled) and “specially designed” “parts,” “components” and “accessories” therefor.

    License Requirements

    Reason for Control: AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    LVS: N/A

    GBS: N/A

    List of Items Controlled

    Related Controls: See also 3A992.a.

    Related Definitions: N/A

    Items:

    a. Equipment “specially designed” for the inspection or testing of electron tubes, optical elements and “specially designed” “parts” and “components” therefor controlled by 3A001 or 3A991;

    b. Equipment “specially designed” for the inspection or testing of semiconductor devices, integrated circuits and “electronic assemblies”, as follows, and systems incorporating or having the characteristics of such equipment:

    Note:

    3B992.b also controls equipment used or modified for use in the inspection or testing of other devices, such as imaging devices, electro-optical devices, acoustic-wave devices.

    b.1. “Stored program controlled” inspection equipment for the automatic detection of defects, errors or contaminants of 0.6 micrometer or less in or on processed wafers, “substrates”, other than printed circuit boards or chips, using optical image acquisition techniques for pattern comparison;

    Note:

    3B992.b.1 does not control general purpose scanning electron microscopes, except when “specially designed” and instrumented for automatic pattern inspection.

    b.2. “specially designed” “stored program controlled” measuring and analysis equipment, as follows:

    b.2.a. “specially designed” for the measurement of oxygen (O) or carbon (C) content in semiconductor materials;

    b.2.b. Equipment for line width measurement with a resolution of 1 micrometer or finer;

    b.2.c. “specially designed” flatness measurement instruments capable of measuring deviations from flatness of 10 micrometer or less with a resolution of 1 micrometer or finer.

    b.3. “Stored program controlled” wafer probing equipment having any of the following characteristics:

    b.3.a. Positioning accuracy finer than 3.5 micrometer;

    b.3.b. Capable of testing devices having more than 68 terminals; or

    b.3.c. Capable of testing at a frequency exceeding 1 GHz;

    b.4. Test equipment as follows:

    b.4.a. “Stored program controlled” equipment “specially designed” for testing discrete semiconductor devices and unencapsulated dice, capable of testing at frequencies exceeding 18 GHz;

    Technical Note:

    Discrete semiconductor devices include photocells and solar cells.

    b.4.b. “Stored program controlled” equipment “specially designed” for testing integrated circuits and “electronic assemblies” thereof, capable of functional testing:

    b.4.b.1. At a `pattern rate' exceeding 20 MHz; or

    b.4.b.2. At a `pattern rate' exceeding 10 MHz but not exceeding 20 MHz and capable of testing packages of more than 68 terminals.

    Note:

    3B992.b.4.b does not control test equipment “specially designed” for testing:

    1. memories;

    2. “Assemblies” or a class of “electronic assemblies” for home and entertainment applications; and

    3. Electronic “parts,” “components,” “assemblies” and integrated circuits not controlled by 3A001 or 3A991 provided such test equipment does not incorporate computing facilities with “user accessible programmability.”

    Technical Note:

    For purposes of 3B992.b.4.b, `pattern rate' is defined as the maximum frequency of digital operation of a tester. It is therefore equivalent to the highest data rate that a tester can provide in non-multiplexed mode. It is also referred to as test speed, maximum digital frequency or maximum digital speed.

    b.4.c. Equipment “specially designed” for determining the performance of focal-plane arrays at wavelengths of more than 1,200 nm, using “stored program controlled” measurements or computer aided evaluation and having any of the following characteristics:

    b.4.c.1. Using scanning light spot diameters of less than 0.12 mm;

    b.4.c.2. Designed for measuring photosensitive performance parameters and for evaluating frequency response, modulation transfer function, uniformity of responsivity or noise; or

    b.4.c.3. Designed for evaluating arrays capable of creating images with more than 32 × 32 line elements;

    b.5. Electron beam test systems designed for operation at 3 keV or below, or “laser” beam systems, for non-contactive probing of powered-up semiconductor devices having any of the following:

    b.5.a. Stroboscopic capability with either beam blanking or detector strobing;

    b.5.b. An electron spectrometer for voltage measurements with a resolution of less than 0.5 V; or

    b.5.c. Electrical tests fixtures for performance analysis of integrated circuits;

    Note:

    3B992.b.5 does not control scanning electron microscopes, except when “specially designed” and instrumented for non-contactive probing of a powered-up semiconductor device.

    b.6. “Stored program controlled” multifunctional focused ion beam systems “specially designed” for manufacturing, repairing, physical layout analysis and testing of masks or semiconductor devices and having either of the following characteristics:

    b.6.a. Target-to-beam position feedback control precision of 1 micrometer or finer; or

    b.6.b. Digital-to-analog conversion accuracy exceeding 12 bit;

    b.7. Particle measuring systems employing “lasers” designed for measuring particle size and concentration in air having both of the following characteristics:

    b.7.a. Capable of measuring particle sizes of 0.2 micrometer or less at a flow rate of 0.02832 m3 per minute or more; and

    b.7.b. Capable of characterizing Class 10 clean air or better.

    * * * * *

    3B993 Specified semiconductor manufacturing equipment as follows (see list of items controls).

    License Requirements

    Reason for Control: RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    RS applies to entire entry See § 742.6(a)(11) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    LVS: N/A

    GBS: N/A

    List of Items Controlled

    Related Controls: (1) See ECCNs 3D993 and 3E993 for associated “software” and “technology” controls. (2) For additional controls that apply to this ECCN, see also § 744.11(a)(2)(v) and § 744.23(a)(4) of the EAR.

    Related Definitions: N/A

    Items:

    a. [Reserved]

    b. Semiconductor wafer fabrication equipment for 300 mm wafers designed for ion implantation and having any of the following:

    b.1. Equipment designed for plasma doping, having all of the following:

    b.1.a. One or more Radio Frequency (RF) power source(s);

    b.1.b. One or more pulsed DC Power Source; and

    b.1.c. One or more n-type or p-type dopant implants.

    b.2 [Reserved]

    c. Etch equipment as follows:

    c.1. Equipment designed or modified for anisotropic etching of dielectric materials and enabling the fabrication of high aspect ratio features with aspect ratio greater than 30:1 and a lateral dimension on the top surface of less than 100 nm, and having all of the following: ( print page 96826)

    c.1.a. Radio Frequency (RF) power source(s) with at least one pulsed RF output; and

    c.1.b. One or more fast gas switching valve(s) with switching time less than 300 milliseconds.

    Note:

    3B993.c.1 includes etching by 'radicals', ions, sequential reactions, or non-sequential reaction.

    Technical Note:

    For the purposes of the Note to 3B993.c.1, 'radical' is defined as an atom, molecule, or ion that has an unpaired electron in an open electron shell configuration.

    c.2. Equipment, not specified by 3B993.c.1, designed for anisotropic etching of dielectric material and enabling the fabrication of high aspect ratio features having all of the following:

    c.2.a. An aspect ratio greater than 30:1; and

    c.2.b. A lateral dimension on the top surface of less than 40 nm.

    Note:

    3B993.c.2 does not apply to equipment designed for wafer diameters less than 300 mm.

    c.3. Equipment, not specified by 3B001.c.1.c, designed or modified for anisotropic dry etching, having all of the following:

    c.3.a. Radio Frequency (RF) power source(s) with at least one pulsed RF output;

    c.3.b. One or more fast gas switching valve(s) with switching time less than 500 milliseconds; and

    c.3.c. Electrostatic chuck with greater than or equal to 10 individually controllable variable temperature elements.

    d. Semiconductor manufacturing deposition equipment as follows:

    d.1. Equipment designed, not specified by 3B001.d.14, for deposition assisted by remotely generated `radicals', enabling the fabrication of a silicon (Si) and carbon (C) containing film, and having all of the following properties of the deposited film:

    d.1.a. A dielectric constant (k) of less than 5.3;

    d.1.b. In features with an aspect ratio greater than 5:1 with lateral openings of less than 70 nm; and

    d.1.c. A feature-to-feature pitch of less than 100 nm.

    d.2. Equipment designed for deposition of a film, containing silicon and carbon, and having a dielectric constant (k) of less than 5.3, into lateral openings having widths of less than 70 nm and aspect ratios greater than 5:1 (depth: width) and a feature-to-feature pitch of less than 100 nm, while maintaining the wafer substrate at a temperature greater than 400 °C and less than 650 °C, and having all of the following:

    d.2.a. Boat designed to hold multiple vertically stacked wafers;

    d.2.b. Two or more vertical injectors; and

    d.2.c. A silicon source and propene are introduced to a different injector than a nitrogen source or an oxygen source.

    d.3. Equipment designed for chemical vapor deposition of a carbon material layer with a density more than 1.6 g/cm3 .

    d.4. Deposition equipment, not specified by 3B001.d.19, having direct-liquid injection of more than two metal precursors, designed or modified to deposit a conformal dielectric film with a dielectric constant (K) greater than 35 in features with aspect ratio greater than 50:1 in a single deposition chamber.

    e. [Reserved]

    f. Lithography equipment as follows:

    f.1. Align and expose step and repeat (direct step on wafer) or step and scan (scanner) lithography equipment for wafer processing using photo-optical or X-ray methods and having all of the following:

    f.1.a. [Reserved]

    f.1.b. A light source wavelength equal to or longer than 193 nm and having all of the following:

    f.1.b.1 The capability to produce a pattern with a `Minimum Resolvable Feature size' (`MRF') of 45 nm or less; and

    f.1.b.2. A maximum `dedicated chuck overlay' value greater than 1.50 nm and less than or equal to 2.40 nm.

    Technical Notes for paragraph 3B993.f.1:

    1. The 'Minimum Resolvable Feature size' (`MRF') is calculated by the following formula:

    where, for the purposes of 3B993.f.1, the K factor = 0.25.

    `MRF' is also known as resolution.

    2. `Dedicated chuck overlay' is the alignment accuracy of a new pattern to an existing pattern printed on a wafer by the same lithographic system. `Dedicated chuck overlay' is also known as single machine overlay.

    f.2. Imprint lithography equipment having an overlay accuracy above 1.5 nm and less (better) than or equal to 4.0 nm.

    f.3. Commodities designed or modified to increase the number of wafers processed per hour, averaged over any time interval, by greater than 1%, of equipment specified in 3B001.f.1 or 3B993.f.1.

    g. through n. [Reserved]

    o. Annealing equipment designed for 300 mm wafers as follows:

    o.1 Annealing equipment, operating in a vacuum (equal to or less than 0.01 Pa) environment, performing any of the following:

    o.1.a. Reflow of copper (Cu) to minimize or eliminate voids or seams in copper (Cu) metal interconnects; or

    o.1.b. Reflow of cobalt (Co) or tungsten (W) fill metal to minimize or eliminate voids or seams;

    o.2. Equipment designed to heat a semiconductor wafer to a temperature greater than 1000 °C (1832 °F) for a `duration' less than 2 ms.

    Technical Note:

    For the purposes of 3B993.o.2, `duration' is the period above stated temperature.

    p. Removal and cleaning equipment as follows:

    p.1. Equipment designed for removing polymeric residue and copper oxide (CuO) film and enabling deposition of copper (Cu) metal in a vacuum (equal to or less than 0.01 Pa) environment.

    p.2. [Reserved]

    p.3. Equipment designed for dry surface oxide removal preclean or dry surface decontamination.

    Note to 3B993.p.1 and p.3:

    These controls do not apply to deposition equipment.

    q. Inspection and metrology equipment as follows:

    q.1. Patterned wafer defect metrology or patterned wafer defect inspection equipment, designed or modified to accept wafers greater than or equal to 300 mm in diameter, and having all of the following:

    q.1.a. Designed or modified to detect defects having a size equal to or less than 21 nm; and

    q.1.b. Having any of the following:

    q.1.b.1. A light source with an optical wavelength less than 400 nm;

    q.1.b.2. An electron-beam source with a resolution less (better) than or equal to 1.65 nm;

    q.1.b.3. A Cold Field Emission (CFE) electron-beam source; or

    q.1.b.4. Two or more electron-beam sources.

    q.2. Metrology equipment as follows:

    q.2.a. Stand-alone equipment designed to measure wafer shape parameters prior to lithography exposure and utilize measurements to improve overlay or focus of a deep ultraviolet (DUV) lithography system having an immersion lens having a numerical aperture more than 1.3 or an Extreme Ultraviolet lithography (EUV) system; or

    q.2.b. Metrology equipment designed to measure focus or overlay after resist development or after etch on product wafers using image-based overlay or diffraction-based measurements techniques, with an overlay measurement accuracy less (better) than or equal to 0.5 nm having any of the following: ( print page 96827)

    q.2.b.1 designed for integration to a `track'; or

    q.2.b.2 `fast wavelength switching functionality';

    Technical Notes:

    1. For the purposes of 3B993.q.2, a `track' is equipment designed for coating and developing photoresist formulated for lithography.

    2. For the purposes of 3B993.q.2, `fast wavelength switching functionality' is defined as having the ability the change the measurement wavelength and acquire a measurement in less than 25 ms.

    * * * * *

    3B994 Semiconductor manufacturing equipment that enables “advanced-node integrated circuit” production, as follows (see list of items controls).

    License Requirements

    Reason for Control: RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    RS applies to entire entry See § 742.6(a)(11) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    LVS: N/A

    GBS: N/A

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit commodities specified in this ECCN to any of the destinations listed in Country Group A:5 or A:6 (See supplement no.1 to part 740 of the EAR).

    List of Items Controlled

    Related Controls: (1) See ECCNs 3D994 and 3E994 for associated software and technology controls. (2) For additional controls that apply to this ECCN, see also § 744.11(a)(2)(v) and § 744.23(a)(4) of the EAR.

    Related Definitions: N/A

    Items:

    Note for 3B994:

    Equipment specified in this ECCN 3B994 are limited to equipment designed for volume production, such as equipment designed to accept a SEMI standard wafer carrier such as a 200 mm or larger Front Opening Unified Pod or be connected to a multi-chamber wafer handling system.

    a. [Reserved]

    b. Semiconductor wafer fabrication equipment designed for ion implantation of 300mm wafers as follows:

    b.1. [Reserved]

    b.2. Ion implantation equipment as follows:

    b.2.a. Having all of the following:

    b.2.a.1. Beam current greater than 1uA and less than 5mA; and

    b.2.a.2. Beam energy greater than 5 keV and less than 300 keV; or

    b.2.b. Having all of the following:

    b.2.b.1. Beam current greater than 5 mA; and

    b.2.b.2. Beam energy less than 5 keV; or

    b.2.c. Having angular accuracy equal to or less (better) than 0.1 degrees.

    c. through p. [Reserved]

    q. Inspection and metrology equipment as follows:

    q.1. and q.2. [Reserved]

    q.3. Optical thin film metrology equipment or optical critical dimension metrology equipment designed for 300mm wafers and containing software designed for measuring non-planar transistors.

    * * * * *

    3D001 “Software” “specially designed” for the “development” or “production” of commodities controlled by 3A001.b to 3A002.h, 3A090, or 3B (except 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3B903, 3B904, 3B991, 3B992, 3B993, or 3B994).

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    NS applies to “software” for equipment controlled by 3B001.q Worldwide control. See § 742.4(a)(5) and (b)(10) of the EAR.
    RS applies to “software” for equipment controlled by 3B001.q Worldwide control. See § 742.6(a)(10) and (b)(11) of the EAR.
    NS applies to “software” for commodities controlled by 3A001.b to 3A001.h, 3A001.z, and 3B (except as specified in the heading) NS Column 1.
    RS applies to “software” for commodities controlled by 3A001.z and 3A090 (except for 3A090.c) To or within destinations specified in Country Groups D:1, D:4, and D:5 of supplement no. 1 to part 740 of the EAR, excluding any destination also specified in Country Groups A:5 or A:6. See § 742.6(a)(6)(iii) of the EAR.
    RS applies to “software” for commodities controlled by 3A090.c To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6)(i) of the EAR.
    AT applies to entire entry AT Column 1.

    Reporting Requirements

    See § 743.1 of the EAR for reporting requirements for exports under License Exceptions, and Validated End-User authorizations.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: Yes, except for “software” “specially designed” for the “development” or “production” of Traveling Wave Tube Amplifiers described in 3A001.b.8 having operating frequencies exceeding 18 GHz; or commodities specified in 3A090.

    Note:

    See § 740.2(a)(9)(ii) of the EAR for license exception restrictions for ECCN 3D001 “software” for commodities controlled by 3A001.z and 3A090.

    IEC: Yes, for “software” for equipment controlled by 3B001.q, see § 740.2(a)(22) and § 740.24 of the EAR.

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “software” “specially designed” for the “development” or “production” of equipment specified by 3B001.q to any of the destinations listed in Country Group A:5 or A:6 (See supplement no.1 to part 740 of the EAR); and 3A090, or 3A002.g.1 to any of the destinations listed in Country Group A:6.

    List of Items Controlled

    Related Controls: N/A

    Related Definitions: N/A

    Items:

    The list of items controlled is contained in the ECCN heading.

    3D002 “Software” “specially designed” for the “use” of equipment controlled by 3B001.a to .f, or 3B002.

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    NS applies to entire entry NS Column 1.
    NS applies to “software” for equipment controlled by 3B001.c.1.a or c.1.c Worldwide control. See § 742.4(a)(5) and (b)(10) of the EAR.
    RS applies to “software” for equipment controlled by 3B001.c.1.a or c.1.c Worldwide control. See § 742.6(a)(10) and (b)(11) of the EAR.
    AT applies to entire entry AT Column 1.

    License Requirements Note:

    See § 744.17 of the EAR for additional license requirements for microprocessors having a processing speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more, including those incorporating “information security” functionality, and associated “software” and “technology” for the “production” or “development” of such microprocessors.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: Yes, except N/A for RS. ( print page 96828)

    IEC: Yes, for “software” for equipment controlled by 3B001.c.1.a and 3B001.c.1.c, see § 740.2(a)(22) and § 740.24 of the EAR.

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “software” “specially designed” for the “use” of equipment specified by 3B001.c.1.a or c.1.b to any of the destinations listed in Country Group A:5 or A:6 (See supplement no.1 to part 740 of the EAR)

    List of Items Controlled

    Related Controls: Also see 3D991.

    Related Definitions: N/A

    Items: The list of items controlled is contained in the ECCN heading.

    * * * * *

    3D992 “Software” for the “development” or “production” of commodities specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, or 3B002.c and “software” as follows (see List of Items Controlled).

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    NS applies to the entire entry To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.4(a)(4) of the EAR.
    RS applies to the entire entry To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6)(i) of the EAR.
    NS applies to “software” for equipment controlled by 3B001.c.1.a or c.1.c Worldwide control. See § 742.4(a)(5) and (b)(10) of the EAR.
    RS applies to “software” for equipment controlled by 3B001.c.1.a or c.1.c Worldwide control. See § 742.6(a)(10) and (b)(11) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: N/A

    IEC: Yes, for “software” for equipment controlled by 3B001.c.1.a and 3B001.c.1.c, see § 740.2(a)(22) and § 740.24 of the EAR.

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “software” specified in this ECCN to any of the destinations listed in Country Group A:5 or A:6 (See supplement no.1 to part 740 of the EAR).

    List of Items Controlled

    Related Controls: For additional controls that apply to this ECCN, see also § 744.11(a)(2)(v) and (a)(3) and § 744.23(a)(4)(iii) of the EAR.

    Related Definitions: N/A

    Items:

    a. “Software” for the “development” or “production,” of commodities specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, or 3B002.c; and

    b. `Electronic Computer-Aided Design' (`ECAD') “software” designed for the integration of multiple dies into a `multi-chip' integrated circuit, and having all of the following:

    b.1. Floor planning; and

    b.2. Co-design or co-simulation of die and package.

    Technical Note:

    For the purposes of 3D992.b, `multi-chip' includes multi-die and multi-chiplet.

    3D993 “Software” for the “development” or “production” of commodities specified in 3B993 and “software” as follows (see List of Items Controlled).

    License Requirements

    Reason for Control: RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    RS applies to entire entry See § 742.6(a)(11) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: N/A

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “software” specified in this ECCN to any of the destinations listed in Country Group A:5 or A:6 (see supplement no.1 to part 740 of the EAR).

    List of Items Controlled

    Related Controls: For additional controls that apply to this ECCN, see also § 744.11(a)(2)(v) and (a)(3) and § 744.23(a)(4)(iii) of the EAR.

    Related Definitions: N/A

    Items:

    a. “Software” for the “development” or “production” of commodities specified in 3B993.

    b. `Electronic Computer-Aided Design' (`ECAD') “software” designed or modified for the “development” or “production” of integrated circuits using multipatterning.

    c. `Computational lithography' “software” designed or modified for the “development” or “production” of patterns on DUV lithography masks or reticles.

    d. “Software” designed or modified to increase the number of wafers processed per hour, averaged over any time interval, by greater than 1%, of equipment specified in 3B001.f.1 or 3B993.f.1.

    Technical Note:

    For the purposes of 3D993, `computational lithography' is the use of computer modelling to predict, correct, optimize and verify imaging performance of the lithography process over a range of patterns, processes, and system conditions.

    * * * * *

    3D994 “Software” for the “development” or “production” of commodities specified in 3B994 and “software” as follows (see List of Items Controlled).

    License Requirements

    Reason for Control: RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    RS applies to entire entry See § 742.6(a)(11) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: N/A

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “software” specified in this ECCN to any of the destinations listed in Country Group A:5 or A:6 (see supplement no. 1 to part 740 of the EAR).

    List of Items Controlled

    Related Controls: For additional controls that apply to this ECCN, see also § 744.11(a)(2)(v) and (a)(3) and § 744.23(a)(4)(iii) of the EAR.

    Related Definitions: N/A

    Items: The list of items controlled is contained in the ECCN heading.

    * * * * *

    3E001 “Technology” according to the General Technology Note for the “development” or “production” of commodities controlled by 3A (except 3A901, 3A904, 3A980, 3A981, 3A991, 3A992, or 3A999), 3B (except 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r, 3B002.c, 3B903, 3B904, 3B991, 3B992, 3B993, or 3B994) or 3C (except 3C907, 3C908, 3C909, or 3C992).

    License Requirements

    Reason for Control: NS, MT, NP, RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    NS applies to “technology” for commodities controlled by 3A001, 3A002, 3A003, 3B001 (except as noted in the heading), 3B002 (except 3B002.c), or 3C001 to 3C006 NS Column 1.
    MT applies to “technology” for commodities controlled by 3A001 or 3A101 for MT Reasons MT Column 1.
    ( print page 96829)
    NP applies to “technology” for commodities controlled by 3A001, 3A201, or 3A225 to 3A234 for NP reasons NP Column 1.
    RS applies to “technology” for commodities controlled in 3A090, when exported from Macau or a destination specified in Country Group D:5 Worldwide (see § 742.6(a)(6)(ii)).
    RS applies to “technology” for commodities controlled by 3A001.z, 3A090 (except for 3A090.c) To or within destinations specified in Country Groups D:1, D:4, and D:5 of supplement no. 1 to part 740 of the EAR, excluding any destination also specified in Country Groups A:5 or A:6. See § 742.6(a)(6)(iii) of the EAR.
    RS applies to “technology” for commodities controlled by 3A090.c To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6)(i)(B) of the EAR.
    RS applies to “technology” for commodities controlled by 3A001.a.15 or b.13, 3A004, 3B003, 3C007, 3C008, or 3C009 RS Column 2.
    AT applies to entire entry AT Column 1.

    License Requirements Note:

    See § 744.17 of the EAR for additional license requirements for microprocessors having a processing speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more, including those incorporating “information security” functionality, and associated “software” and “technology” for the “production” or “development” of such microprocessors.

    Reporting Requirements

    See § 743.1 of the EAR for reporting requirements for exports under License Exceptions, Special Comprehensive Licenses, and Validated End-User authorizations.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: Yes, except N/A for MT, and “technology” for the “development” or “production” of: (a) vacuum electronic device amplifiers described in 3A001.b.8, having operating frequencies exceeding 19 GHz; (b) solar cells, coverglass-interconnect-cells or covered-interconnect-cells (CIC) “assemblies,” solar arrays and/or solar panels described in 3A001.e.4; (c) “Monolithic Microwave Integrated Circuit” (“MMIC”) amplifiers in 3A001.b.2; (d) discrete microwave transistors in 3A001.b.3; and (e) commodities described in 3A090.

    Note:

    See § 740.2(a)(9)(ii) of the EAR for license exception restrictions for ECCN 3E001 “technology” for commodities controlled by 3A001.z, 3A090.

    IEC: Yes, for “technology” for equipment controlled by 3B001.q, see § 740.2(a)(22) and § 740.24 of the EAR.

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “technology” according to the General Technology Note for the “development” or “production” of equipment specified by ECCNs 3A002.g.1 or 3B001.a.2 to any of the destinations listed in Country Group A:6 (see supplement no.1 to part 740 of the EAR). License Exception STA may not be used to ship or transmit “technology” according to the General Technology Note for the “development” or “production” of components specified by ECCN 3A001.b.2, b.3, commodities specified in 3A090, to any of the destinations listed in Country Group A:5 or A:6 (see supplement no. 1 to part 740 of the EAR).

    List of Items Controlled

    Related Controls: (1) “Technology” according to the General Technology Note for the “development” or “production” of certain “space-qualified” atomic frequency standards described in Category XV(e)(9), MMICs described in Category XV(e)(14), and oscillators described in Category XV(e)(15) of the USML are “subject to the ITAR” (see 22 CFR parts 120 through 130). See also ECCNs 3E101, 3E201 and 9E515. (2) “Technology” for “development” or “production” of “Microwave Monolithic Integrated Circuits” (“MMIC”) amplifiers in 3A001.b.2 is controlled in this ECCN 3E001; 5E001.d refers only to that additional “technology” “required” for telecommunications.

    Related Definition: N/A

    Items: The list of items controlled is contained in the ECCN heading.

    Note 1:

    3E001 does not control “technology” for equipment or “components” controlled by 3A003.

    Note 2:

    3E001 does not control “technology” for integrated circuits controlled by 3A001.a.3 to a.14 or .z, having all of the following:

    (a) Using “technology” at or above 0.130 µm; and

    (b) Incorporating multi-layer structures with three or fewer metal layers.

    * * * * *

    3E992 “Technology” for the “production” or “development” of commodities specified in 3B001.a.4, c, d, f.1, f.5, k to n, p.2, p.4, r; and 3B002.c .

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    NS applies to the entire entry To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.4(a)(4) of the EAR.
    RS applies to the entire entry To or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6)(i) of the EAR.
    NS applies to “software” for equipment controlled by 3B001.c.1.a or c.1.c Worldwide control. See § 742.4(a)(5) and (b)(10) of the EAR.
    RS applies to “software” for equipment controlled by 3B001.c.1.a or c.1.c Worldwide control. See § 742.6(a)(10) and (b)(11) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: N/A

    IEC: Yes, for “technology” for equipment controlled by 3B001.c.1.a, and 3B001.c.1.c, see § 740.2(a)(22) and § 740.24 of the EAR.

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “technology” specified in this ECCN to any of the destinations listed in Country Group A:5 or A:6 (see supplement no. 1 to part 740 of the EAR).

    List of Items Controlled

    Related Controls: N/A

    Related Definitions: N/A

    Items: The list of items controlled is contained in the ECCN heading.

    3E993 “Technology” for the “development” or “production” of commodities specified in 3B993 as follows.

    License Requirements

    Reason for Control: RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    RS applies to entire entry See § 742.6(a)(11) of the EAR.
    AT applies to entire entry AT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: N/A ( print page 96830)

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “technology” specified in this ECCN to any of the destinations listed in Country Group A:5 or A:6 (see supplement no. 1 to part 740 of the EAR).

    List of Items Controlled

    Related Controls: For additional controls that apply to this ECCN, see also § 744.11(a)(2)(v) and (a)(3) and § 744.23(a)(4)(iii) of the EAR.

    Related Definitions: N/A

    Items:

    a. “Technology” for the “development” or “production” of commodities specified by 3B993.

    b. “Technology” designed or modified to increase the number of wafers processed per hour, averaged over any time interval, by greater than 1%, of equipment specified in 3B001.f.1 or 3B993.f.1.

    * * * * *

    3E994 “Technology” for the “development” or “production” of commodities specified in 3B994 and “technology” as follows (see List of Items Controlled).

    License Requirements

    Reason for Control: RS, AT

    Control(s) Country chart (see Supp. No. 1 to part 738)
    RS applies to entire entry See § 742.6(a)(11) of the EAR.
    AT applies to entire entry AT Column 1.

Document Information

Effective Date:
12/2/2024
Published:
12/05/2024
Department:
Industry and Security Bureau
Entry Type:
Rule
Action:
Interim final rule.
Document Number:
2024-28270
Dates:
Effective date: This rule is effective December 2, 2024.
Pages:
96790-96830 (41 pages)
Docket Numbers:
Docket No. 241126-0302
RINs:
0694-AJ74
Topics:
Administrative practice and procedure, Business and industry, Confidential business information, Exports, Inventions and patents, Reporting and recordkeeping requirements, Research, Science and technology, Terrorism
PDF File:
2024-28270.pdf
CFR: (11)
15 CFR 732
15 CFR 734
15 CFR 736
15 CFR 740
15 CFR 742
More ...