2023-23049. Export Controls on Semiconductor Manufacturing Items  

  • Start Preamble Start Printed Page 73424

    AGENCY:

    Bureau of Industry and Security, Commerce.

    ACTION:

    Interim final rule; request for comments.

    SUMMARY:

    On October 7, 2022, the Bureau of Industry and Security (BIS) released the interim final rule (IFR) “Implementation of Additional Export Controls: Certain Advanced Computing and Semiconductor Manufacturing Items; Supercomputer and Semiconductor End Use” (October 7 IFR), which amended the Export Administration Regulations (EAR) to implement controls on advanced computing integrated circuits (ICs), computer commodities that contain such ICs, and certain semiconductor manufacturing items. The October 7 IFR also made other EAR changes to ensure appropriate related controls, including on certain “U.S. person” activities. This IFR addresses comments received in response to only the part of the October 7 IFR that controls semiconductor manufacturing equipment (SME) and amends the EAR to implement SME controls more effectively and to address ongoing national security concerns.

    DATES:

    Effective dates: This rule is effective November 17, 2023, except for amendatory instruction 5, which is effective January 1, 2026.

    Comment due date: Comments must be received by BIS no later than December 18, 2023.

    ADDRESSES:

    Comments on this rule may be submitted to the Federal rulemaking portal ( www.regulations.gov). The regulations.gov ID for this rule is: BIS–2023–0016. Please refer to RIN 0694–AJ23 in all comments.

    All filers using the portal should use the name of the person or entity submitting the comments as the name of their files, in accordance with the instructions below. Anyone submitting business confidential information should clearly identify the business confidential portion at the time of submission, file a statement justifying nondisclosure and referring to the specific legal authority claimed, and provide a non-confidential version of the submission.

    For comments submitted electronically containing business confidential information, the file name of the business confidential version should begin with the characters “BC.” Any page containing business confidential information must be clearly marked “BUSINESS CONFIDENTIAL” on the top of that page. The corresponding non-confidential version of those comments must be clearly marked “PUBLIC.” The file name of the non-confidential version should begin with the character “P.” Any submissions with file names that do not begin with either a “BC” or a “P” will be assumed to be public and will be made publicly available through https://www.regulations.gov. Commenters submitting business confidential information are encouraged to scan a hard copy of the non-confidential version to create an image of the file, rather than submitting a digital copy with redactions applied, to avoid inadvertent redaction errors which could enable the public to read business confidential information.

    Start Further Info

    FOR FURTHER INFORMATION CONTACT:

    • For general questions, contact Regulatory Policy Division, Office of Exporter Services, Bureau of Industry and Security, U.S. Department of Commerce at 202–482–2440 or by email: RPD2@bis.doc.gov, please include “RIN: 0694–AJ23” in the subject line.

    • For technical questions, contact Carlos Monroy at 202–482–3246 or Carlos.Monroy@bis.doc.gov.

    End Further Info End Preamble Start Supplemental Information

    SUPPLEMENTARY INFORMATION:

    Background

    A. Introduction

    On October 7, 2022, BIS released interim final rule (IFR) “Implementation of Additional Export Controls: Certain Advanced Computing and Semiconductor Manufacturing Items; Supercomputer and Semiconductor End Use” (October 7 IFR) and requested public comments on the newly imposed measures. (87 FR 62186, October 13, 2022) BIS imposed these new controls to protect U.S. national security interests by restricting the People's Republic of China (China's) military modernization efforts and degrading its ability to violate human rights. With a calibrated and measured approach, focused on key, force-multiplying technologies, the October 7 IFR accomplished U.S. national security objectives while interfering with commercial trade no more than necessary to accomplish those objectives.

    The advanced computing integrated circuits (ICs), semiconductor manufacturing equipment (SME) essential to producing advanced-node ICs, and items used to further supercomputing capacity controlled through the October 7 IFR are critical for the development of weapons of mass destruction (WMD), advanced weapons systems, exascale supercomputing, and artificial intelligence (AI) capabilities, as well as high-tech surveillance applications. The use of such items in development and deployment of advanced weapons systems and advanced AI to support military applications would further U.S. military adversaries' goals of surpassing the United States and its allies in military capability, thereby destabilizing regional and global security status quos. This includes logic integrated circuits needed for future advanced weapon systems and memory needed for high volume and high-performance data storage in such systems. Additionally, AI capabilities, facilitated by supercomputing and built on advanced-node ICs made by SME, lead to improved speed and accuracy of military decision-making, planning, and logistics. They can also be used for cognitive electronic warfare, radar, signals, intelligence, and jamming. These ongoing national security concerns motivated the October 7 IFR and require the controls set forth in this SME IFR.

    The October 7 IFR imposed controls on two sets of items and activities. First, the rule established new Export Control Classification Numbers (ECCNs) and controls for certain advanced computing ICs and computer commodities that contain such ICs, as well as end-use and end-user controls related to “supercomputers.” Second, it established a new ECCN and controls for certain SME essential to producing advanced-node ICs, end-use controls related to the “development” and “production” of those advanced ICs, and end-use controls related to the “development” and “production” of SME. BIS later imposed the same controls implemented on China in the October 7 IFR to Macau because of Macau's position as a Special Administrative Region of China and the potential risk of diversion of items subject to the EAR from Macau to China. See “Implementation of Additional Export Controls: Certain Advanced Computing and Semiconductor Manufacturing Items; Supercomputer and Semiconductor End Use Updates to the Controls to Add Macau” (88 FR 2821, January 18, 2023). Start Printed Page 73425

    In this rule, BIS updates the SME controls through publication of this SME IFR while publishing elsewhere in this issue of the Federal Register a separate IFR, “Implementation of Additional Export Controls: Certain Advanced Computing Items; Supercomputer and Semiconductor End Use; Updates to the Controls and Corrections” (AC/S IFR). Together, these IFRs advance the U.S. national security objectives identified above and discussed more extensively in the chapeau of section C of this rule.

    This SME IFR amends the EAR by refining the scope of the October 7 IFR to more effectively achieve national security objectives while responding to public comments about the semiconductor manufacturing and SME controls adopted in the October 7 IFR. This SME IFR: (1) includes additional types of SME to those previously described under ECCN 3B090 and controls all such items under ECCNs 3B001 and 3B002; (2) revises ECCNs 3D001, 3D002, 3D003, and 3E001 to make conforming changes for the license requirements for the items moved from ECCN 3B090 to ECCNs 3B001 and 3B002; (3) revises the license exception restrictions to reflect the removal of 3B090 and makes other changes related to the availability of license exceptions for these SME items; (4) revises the national security license requirements and review policy to impose national security controls on newly added SME and those items moved from ECCN 3B090 to ECCNs 3B001 and 3B002 for Macau and destinations specified in Country Group D:5; (5) revises the regional stability license requirements and license review policy to, among other things, remove references to ECCN 3B090 and expand the license requirement to Macau and destinations specified in Country Group D:5; (6) revises the de minimis provisions to add a 0% de minimis rule for items described in new ECCN 3B001.f.1.b.2.b; (7) revises and reformats the “U.S. persons” activities controls and “supercomputer” and semiconductor manufacturing end-use controls to better achieve the objectives of the October 7 IFR and improve clarity; (8) adds two new defined terms to the EAR for “extreme ultraviolet” (“EUV”) and “advanced-node integrated circuits;” (9) adds a new Temporary General License (TGL) to provide SME producers in the United States and Country Groups A:5 and A:6 countries additional time to identify alternative sources of supply outside of arms-embargoed countries, or to acquire individually validated licenses; and (10) revises license requirements based on destination.

    B. Public Comments and BIS's Responses

    BIS received 43 responsive public comments in response to the October 7 IFR. This rule summarizes and addresses the comments under 63 topics that were specific to controls related to SMEs and the production of advanced-node ICs. The AC/S IFR, published elsewhere in this issue of the Federal Register , summarizes and addresses comments on the advanced computing provisions of the October 7 IFR, as well as general comments applicable to all aspects of the October 7 IFR that are not otherwise addressed in this SME IFR. BIS appreciates the many public comments it received and encourages continued engagement and feedback. This SME rule is published as an IFR with a 60-day comment period and 30-day delayed effective date for most changes for the purpose of gathering valuable public input.

    Breadth of the October 7 IFR and Its Unilateral Imposition

    Topic 1: Many commenters expressed concern and surprise about the breadth of the October 7 IFR, in some cases arguing that existing multilateral ( i.e., the Wassenaar Arrangement) controls were sufficient to address BIS's stated objectives.

    BIS Response: BIS understands the importance of predictability and specific focus in export controls, particularly given the complexity and interdependence of the global semiconductor industry. The U.S. Government has frequently and consistently raised its concerns about China's military modernization, particularly in light of China's Military-Civil Fusion (MCF) strategy, which deliberately blurs the lines between commercial sectors and military programs, and the ability of China's government to demand information and assistance from companies. The U.S. Government, including BIS, has been clear that MCF, combined with China's government system, has led to additional U.S. export controls on items including emerging technologies that have military applications. Consistent with this view, BIS has specifically signaled intent during speeches at BIS's 2022 Annual Update Conference and various other public engagements to pursue additional controls in this area to address U.S. national security and foreign policy concerns, including with respect to military modernization and human rights.

    Moreover, while some may argue against the breadth of the October 7 IFR controls, in fact BIS sought to use a scalpel approach, seeking to restrict China's military modernization efforts through the narrowest possible restrictions of sensitive technologies without unduly interfering with commercial trade. While items that are the subject of this SME IFR are not yet formally controlled under a multilateral regime, the urgency and criticality of the U.S. national security concerns described herein dictate control pending adoption through the Wassenaar Arrangement.

    Topic 2: Many commenters expressed concern about the unilateral nature of new controls in the October 7 IFR. These commenters highlighted the established congressional preference for multilateral controls set forth in the Export Control Reform Act of 2018 (ECRA), urging that BIS should not have acted, and should not act in the future, without first securing multilateral support for any new controls, particularly those related to SME and semiconductor production because foreign available items not subject to U.S. control may undercut the effectiveness of U.S. action. For example, a commenter noted that, in function, new ECCN 3B090 on SME expands existing 3B001 by adding new parameters controlled only to China. Before becoming effective, Wassenaar Arrangement approval of a U.S. proposal should be obtained.

    BIS response: BIS continues to work with interagency partners to obtain formal multilateral regime agreement for all new controls, including those imposed in this IFR, consistent with ECRA. There are circumstances, however, consistent with ECRA, in which action pending formal multilateral regime agreement is warranted to protect U.S. national security interests. BIS's imposition of National Security (NS) controls on the items in this SME IFR is consistent with these principles. These controls are being implemented in anticipation of formal multilateral regime adoption.

    Topic 3: Many commenters agreed with BIS's objectives but argued that the unilateral controls in the October 7 IFR have already been, and will be, both damaging and ineffective particularly because they encourage foreign companies to “design out” or avoid products subject to the EAR. This “design out” is to the short- and long-term detriment of U.S.-based companies and their technological leadership within the semiconductor industry. Accordingly, commenters argue the Start Printed Page 73426 controls are, or over time will become, ineffective.

    BIS response: BIS's goal is to implement effective and focused controls that do not diminish U.S. technology leadership. To this end, BIS's revisions in this SME IFR focus controls on specific capabilities related to military advancement and activities or technologies that enable those capabilities. At the same time, BIS has refined controls to minimize negative consequences including by encouraging replacement of items subject to the EAR with items not subject to the EAR. Among other things, BIS has adopted more nuanced license review policies that account for end use and the replaceability of items subject to control and made available new general authorizations to allied-destination companies to facilitate their transition to the new controls. These steps recognize China's role in the global semiconductor industry and electronics ecosystem. BIS's focus is on the development and production of advanced-node ICs, given their national security implications and China's well-documented MCF policy. Finally, BIS understands and appreciates the significant efforts by global industry to comply with new export controls. Corporate compliance activities are the keystone of effective controls, and BIS reiterates its interest in feedback from the export community. BIS also notes that, when warranted, we will consider requests for expedited review or other forms of authorization, as it did in the days, weeks, and months following the October 7 IFR.

    Topic 4: A commenter noted that allies have not imposed similar semiconductor end-use controls on their nationals. This commenter noted that although the Enhanced Proliferation Control Initiative (EPCI) is a decades-old initiative that was the basis for U.S. and allied partner export control authorities to impose licensing obligations for the provision of services and exports involving otherwise uncontrolled items, no ally has similarly informed its citizens that support for advanced-node IC development or production in China could per se support the development or production of WMD.

    BIS response: BIS has revised the “U.S. persons” controls related to SME set forth in § 744.6 to ensure that EPCI controls are calibrated to address the national security concerns described above without unduly undermining the ability of U.S. persons to work for companies headquartered in the United States and closely allied countries. Additional discussion on the changes made to U.S. person controls are discussed in section C.10 of this rule.

    Topic 5: A commenter requested that BIS should consider the impact on potential public benefits derived from advanced technologies developed through cross-border cooperation, especially in the realm of global health and environmental issues.

    BIS response: BIS has considered this impact and notes that existing licensing policies are designed to be flexible, enabling authorization of certain types of collaboration when warranted, such as to maintain supply chains, assuming the risks of diversion to prohibited end uses are sufficiently mitigated.

    Topic 6: A commenter noted that the United States will be hurt by not having access to technology developed in China and the United States may be left behind in the technology race because it will be harder to share information needed for technological development.

    BIS response: The EAR controls do not restrict the importation of items from China. However, BIS understands that this commenter likely means that because U.S. companies will be restricted in the types of items they will be able to export, reexport, or transfer to or within China or Macau and the types of end uses or end users they can engage with in China or Macau, it may be more difficult to collaborate with parties in China and Macau. BIS does not seek to disrupt existing supply chains through this rulemaking. These controls are necessary to protect national security and have been tailored in as focused a way as possible to affect this result.

    Topic 7: A commenter noted that when some People's Republic of China (PRC) semiconductor foundries buy semiconductor manufacturing equipment, they may (without BIS authorization) resell part or the entire semiconductor production line to an entity that makes military products. The commenter expressed doubt that the U.S. Government would be able to control how the semiconductor equipment will be used after it is shipped to China. It is vital that much stricter controls be implemented.

    BIS response: BIS acknowledges that transfers within China or Macau are a concern, but the existing EAR requirements, including the controls imposed in the October 7 IFR, conditions on BIS licenses, and the license requirement imposed by §§ 744.21 and 744.22 for such transfers (in-country), already impose an authorization requirement for these types of transfers. In addition, equipment exporters typically have staff on-site to assist in operating the semiconductor manufacturing equipment. Further, PRC Import Certificates are required for certain licenses, which facilitates U.S. Government oversight in identifying diversion. BIS is continually assessing how these efforts can be strengthened to address this issue of concern.

    ECCN 3B090

    BIS summarizes below the comments received on ECCN 3B090 and highlights how these comments are addressed in the new controls added in this SME IFR in ECCNs 3B001 and 3B002. Additional discussion of the specific revisions made to ECCNs 3B001 and 3B002 can be found in sections C.1 and C.2 of this rule, respectively. The removal of ECCN 3B090 is discussed in section C.3, and revisions to ECCNs 3D001 and 3E001 are discussed in section C.4.

    Topic 8: BIS received various comments on the addition of ECCN 3B090. Some commenters raised concerns over certain commodities that fell under ECCN 3B090 if they believed that there is foreign availability of the same technology. Several commenters highlighted areas in which they thought additional clarifications or changes were needed to the 3B090 control parameters.

    BIS response: As a general matter, BIS believes that the revisions made to the Commerce Control List (CCL) in this SME IFR respond to the concerns raised in response to the October 7 IFR for CCL-based controls for semiconductor manufacturing items. This SME IFR removes ECCN 3B090 and makes conforming changes to ECCNs 3B001, 3B002, 3D001, and 3E001, as BIS determined that use of existing ECCNs would facilitate global compliance and enforcement. Because of the removal of ECCN 3B090 and the other changes in the SME CCL-based controls implemented, the comments submitted in response to the October 7 IFR on ECCN 3B090 and related software and technology under ECCNs 3D001 and 3E001 are generally no longer applicable. BIS encourages these commenters to review the SME IFR revisions to the CCL, along with the conforming changes made to other parts of the EAR and submit any additional comments that may be warranted. BIS also encourages public comment on any changes in foreign availability since the October 7 IFR.

    Topic 9: A commenter noted that ECCN 3B090.a.1 under-controls the types of equipment at issue and could be available from non-U.S. manufacturers. This commenter also requested BIS add the words “or electroless” after “electroplating” to ECCN 3B090.a.1. This commenter noted that the control does not refer to Start Printed Page 73427 “electroless” plating, which is an alternative means to enable the selective cobalt process described in ECCN 3B090.a.5. In other words, equipment for depositing an alloy of cobalt through electroless plating is also equipment that is specific to the production of semiconductors at 14 nm nodes or smaller.

    BIS response: This SME IFR removes ECCN 3B090.a.1 and adds these items to the new ECCN 3B001.d.1. BIS accepts this commenter's recommendation. BIS has also added a note to ECCN 3B001.d.1 to clarify that this control applies to semiconductor wafer processing equipment, but not necessarily other equipment that may nevertheless be designed for cobalt electroplating or cobalt electroless-plating deposition.

    Topic 10: A commenter noted that ECCN 3B090.a.2 applies to tools available outside the United States used to produce mature node semiconductors. This commenter requested BIS remove the words “or tungsten” in ECCN 3B090.a.2 or, in the alternative, remove ECCN 3B090.a.2 completely because ECCN 3B090.a.8 covers the same scope of equipment. ECCN 3B090.a.2 controls “chemical vapor deposition equipment capable of deposition of cobalt or tungsten fill metal having a void/seam having a largest dimension less than or equal to 3 nm in the fill metal using a bottom-up fill process.” The inclusion of the words “or tungsten” in this control appears to be a mistake because equipment capable of chemical vapor deposition of tungsten has been in use for producing semiconductors at the 90nm and larger technology nodes for more than two decades. To fix this apparent error, the words “or tungsten” could be removed. Another option would be to remove ECCN 3B090.a.2 because the equipment described in the paragraph are all already within the scope of the tools described in ECCN 3B090.a.8, which describes the equipment for cobalt fill.

    BIS response: BIS has removed ECCN 3B090.a.2 and adds related items to ECCN 3B001.d.2. BIS has also revised the scope of the control to provide greater specificity on the types of tungsten-based capabilities subject to control. The new ECCN 3B001.d.2 also includes the phrase “Equipment designed for” at the beginning of ECCN 3B001.d.2 and removes the phrase “capable of” and adds in its place the phrase “by performing” in ECCN 3B001.d.2.a to make the control parameter more precise. BIS encourages commenters that submitted comments on ECCN 3B090 to submit any additional comments they consider relevant.

    Topic 11: A commenter noted that ECCN 3B090.a.6 applies to tools available outside the United States used to produce mature node semiconductors. This commenter requested BIS remove ECCN 3B090.a.6 because it is not limited to the production of advanced-node ICs and ECCN 3B090.a.8 already controls the types of equipment apparently intended to be controlled by the ECCN. ECCN 3B090.a.6. controls “physical vapor deposition equipment capable of depositing a cobalt layer with a thickness of 10 nm or less on a top surface of a copper or cobalt metal interconnect.” BIS apparently inadvertently worded the control in such a way that it is not limited to equipment specific to the production of advanced-node ICs. That is, the control text is not limited in scope to the production of cobalt interconnects on semiconductors at the 14 nm or smaller technology nodes. Rather, it applies equally to equipment that is widely used to produce mature node ICs ( e.g., at the 65 nm technology node) that have been in production for more than a decade.

    BIS response: This SME IFR removes ECCN 3B090.a.6 and, unlike other ECCN 3B090 controls, does not re-establish a similar control under ECCN 3B001.d. The objective of former ECCN 3B090 was to focus controls on items used in the production of advanced-node ICs. Based on feedback from industry, including from this commenter, BIS agrees that ECCN 3B090.a.6 did not effectively tailor the scope of control to this objective, and as a result BIS has decided not to re-establish this control at this time.

    Topic 12: A commenter requested BIS remove ECCN 3B090.a.7 and add alternative text, which would be clearer and better achieve the intended objectives of the October 7 IFR.

    BIS response: This IFR removes ECCN 3B090.a.7 and adds controls on these commodities to ECCN 3B001.d.12. BIS has not adopted this commenter's recommendations but continues to study the controls to ensure appropriate coverage. BIS encourages commenters that submitted comments on ECCN 3B090 to submit any additional comments they consider relevant.

    Topic 13: A commenter noted that ECCN 3B090.a.11 applies to tools available outside the United States used to produce mature node semiconductors. This commenter requested BIS revise slightly ECCN 3B090.a.11 so that it is limited in scope to equipment specific to producing advanced-node ICs. Although BIS apparently intended this control to only apply to equipment specific to producing advanced-node ICs, the commenter believes the control is worded in such a way that it also applies to tools that have been used for more than a decade to produce mature node ICs. Instead, the language would need to be slightly revised so that it is focused only on the atomic layer deposited fill process.

    BIS response: This SME IFR removes ECCN 3B090.a.11 and adds new controls on these commodities to ECCN 3B001.d.11. BIS has not adopted this commenter's recommendations but continues to study the controls to ensure appropriate coverage. BIS encourages commenters that submitted comments on ECCN 3B090 to submit any additional comments they consider relevant.

    SME End-Use Control Under § 744.23(a)(4) (Former § 744.23(a)(1)(v) and (a)(2)(v))

    The following is a summary of public comments regarding § 744.23 and BIS's responses thereto. Additional discussion about § 744.23 can be found in section C.11 of this rule.

    Topic 14: Many commenters argued that the end-use control set out in § 744.23(a)(2)(v) of the October 7 IFR (and now in § 744.23(a)(4)) is too broad, expressing concern about unintended consequences for the “development” and “production” of legacy ICs.

    BIS response: BIS agrees that this provision is overbroad and has narrowed the product scope to any item subject to the EAR and specified on the CCL. Allowing continued development and production of indigenous SME in China would erode the effectiveness of the end-use controls in § 744.23(a)(2). However, BIS believes that this narrowed scope will capture the parts, components, and accessories for SME that are of greatest concern.

    Topic 15: Several commenters expressed concern that the end-use control set out in § 744.23(a)(2)(v) of the October 7 IFR (and now in § 744.23(a)(4)) goes far beyond the advanced production objectives of the October 7 IFR by prohibiting exports of even EAR99 designated items to China for basic semiconductor development and production applications. These commenters warned against cutting off U.S.-based producers of EAR99 items from large segments of the global semiconductor supply chain or risking the loss of long-held supply positions to non-U.S. and producers of raw materials from China. Start Printed Page 73428

    BIS response: Neither the October 7 IFR nor this SME IFR cut off U.S.-based suppliers of EAR99 items from the global semiconductor supply chain, and BIS disagrees with these commenters' characterization of the scope of these end-use controls. BIS notes that it has narrowed the “Product Scope” specified in § 744.23(a)(4) to items subject to the EAR specified on the CCL, and the “End-Use Scope” is now narrowed to the “development” or “production” of certain CCL-listed, Category 3 front-end SME in either Macau or a destination specified in Country Group D:5. This said, the end-use control under § 744.23(a)(4) is not related to the “development” or “production” of ICs or other semiconductor items. Further, there is no general end-use control on the export, reexport, or transfer (in-country) of EAR99 items to China or Macau when destined only for use in the “development” or “production” of non-“advanced-node ICs,” absent other prohibited end uses or end users.

    Topic 16: A commenter noted that including ECCN 3B991 significantly broadens the scope of § 744.23(a)(4) (former § 744.23(a)(2)(v)) beyond items only used for semiconductors. This commenter requests BIS to provide clarity as to why the rule should restrict exports of “parts,” “components,” or “equipment” for the development or production of these types of equipment that are not related to semiconductor device manufacturing.

    BIS response: BIS disagrees with this commenter's characterization of the controls. Specifically, BIS is not aware of items in ECCN 3B991 that are unrelated to semiconductor device manufacturing. However, BIS welcomes additional comments identifying specific Category 3, Group B ECCNs that are unrelated to semiconductor device manufacturing, and which may warrant consideration for exclusion from § 744.23(a)(4). Also, BIS clarifies in this rule that the product scope of § 744.23(a)(4) covers any items subject to the EAR specified on the CCL (not just “parts,” “components,” or “equipment”) when destined for use in the “development” or “production” of SME specified in the listed ECCNs under § 744.23(a)(4).

    Topic 17: A commenter noted that controlling EAR99 materials for use in China's semiconductor industry unnecessarily harms early stages of semiconductor supply chains that feed a wide range of commercial applications. This commenter believes that former § 744.23(a)(1)(v) and (a)(2)(v) do not distinguish between suppliers at different stages of the semiconductor supply chain and treats basic material suppliers equally to advanced IC suppliers, subjecting all to an effective ban on exports to China when for use in Group 3B ECCN equipment.

    BIS response: BIS disagrees with the commenter's characterization of these controls. The end-use control under § 744.23(a)(4) (former § 744.23(a)(2)(v)) does not capture items that are merely “used” by Group 3B ECCN items, but rather only items used in the “development” or “production” of specified Group 3B ECCN items. For example, § 744.23(a)(4) would not control the shipment of CCL items to be used in or consumed by `front-end integrated circuit “production” equipment' specified in a Group 3B ECCN in an IC production setting, assuming the equipment is not involved in the “development” or “production” of “advanced-node integrated circuits,” as that term is now defined in § 772.1. Similarly, these sections do not prohibit providing spare parts or materials for 3B ECCN items (again, assuming the 3B items are already “developed” or “produced”). In addition, this rule eases the compliance burden associated with license requirements arising from § 744.23(a)(4) controls by providing a TGL in supplement no. 1 to part 736 for entities headquartered in the United States or in a destination specified in Country Group A:5 or A:6 that are not majority-owned by an entity headquartered in either Macau or a destination specified in Country Group D:5.

    Topic 18: A commenter noted that controls are catching items that are purely used for civil applications. This commenter noted that initial processing steps for basic silicon wafers can involve semiconductor production equipment and processes employed for solely commercial applications, such as photovoltaic cells and battery technologies.

    BIS response: BIS disagrees with this commenter's characterization of the controls. Section 744.23(a)(2) only controls items destined for the “development” or “production” of ICs. The controls do not generally capture the “development” or “production” of photovoltaic cells or battery technologies simply because such activity involves semiconductor production equipment. If the commenter is referring to the “development” or “production” of basic silicon wafers or ICs (other than “advanced-node ICs”), including those that are subsequently used in these types of commercial applications (and not any of the end uses described in § 744.23), these items similarly fall outside the scope of § 744.23. If BIS has misunderstood the commenter's characterization, additional comments may be submitted in response to this SME IFR or guidance may be sought directly from BIS, including in the form of an Advisory Opinion request to BIS pursuant to § 748.3(c) for clarification.

    Topic 19: A commenter noted that the semiconductor end-use control in § 744.23(a)(4) (former § 744.23(a)(2)(v)) could potentially apply to shipments of U.S.-origin EAR99–designated raw materials to non-U.S. fabricators of parts for Group 3B ECCN equipment, if the non-U.S. fabricator intends to export at least one of its products, which are not otherwise subject to the EAR, to China. The commenter recommends BIS address these circumstances in its revision to the October 7 IFR or in BIS published guidance.

    BIS response: This rule narrows the product scope of § 744.23(a)(4) (former § 744.23(a)(2)(v)) to items subject to the EAR and specified on the CCL. Authorization would be required if there is “knowledge” at the time of export, reexport, or transfer (in-country) that an item on the CCL will ultimately be used (including by incorporation into another item such as a “part” or “component”) in the “development” or “production” of specified Group 3B ECCN equipment in Macau or a destination specified in Country Group D:5. This commenter should also review BIS's responses to Topics 42 through 45, below, for additional guidance on the scope of § 744.23(a)(4). Consistent with its response to Topic 43, BIS notes that an export, reexport, or transfer (in-country) of a replacement “part” or “component” destined for incorporation into Group 3B equipment in Macau or a destination specified in Country Group D:5 that is already “developed” and “produced” ( e.g., finished equipment that is already in operation in an integrated circuit production facility) would not fall within the scope of § 744.23(a)(4) and would need to be analyzed separately under other end-use controls, particularly § 744.23(a)(2).

    Topic 20: A commenter requested that BIS limit the scope of § 744.23(a)(4) (former § 744.23(a)(2)(v)) by exempting (1) legacy SME and SME components, (2) exports to companies located in China but headquartered in the United States and allied partners, and (3) exports of items to China intended for incorporation into SME or SME components that will be utilized outside of China.

    BIS response: In this rule, BIS has added a TGL in paragraph (d)(1) of supplement no. 1 to part 736, which permits companies headquartered in the United States or in Country Group A:5 Start Printed Page 73429 or A:6 countries to continue to use suppliers in China and other destinations in Country Group D:5 and Macau, subject to certain conditions. BIS believes this TGL will mitigate or resolve the concerns raised by this commenter. See the discussion in section C.6 of this rule for additional information about this TGL.

    Topic 21: A commenter noted that the SME restrictions under § 744.23(a)(4) (former § 744.23(a)(2)(v)) will create a strong incentive for companies operating in China, including those headquartered in the United States and allied partners, to replace U.S.-origin items with non-U.S. alternatives. When U.S.-origin components cannot be designed out, it will create a major incentive for companies to move their supply chains out of China even when U.S. and allied companies are the economic beneficiaries of these supply chains.

    BIS response: BIS has established a new TGL in in paragraph (d)(1) of supplement no. 1 to part 736 to permit the activities described by this commenter and mitigate the commenter's concerns. Separately, BIS agrees with the commenter's suggestion that difficulty procuring certain U.S.-origin items may incentivize companies to move supply chains out of China. Separate from release of the October 7 IFR, companies are also analyzing the risks of continued operation in China related to economic coercion and intellectual property theft, among other concerns.

    Topic 22: A commenter noted that given lower production costs in China, without modification, the SME restriction under § 744.23(a)(4) (former § 744.23(a)(2)(v)) will result in greater fabrication costs for “Western” semiconductor equipment manufacturers and the entire electronics sector in the United States. These costs do not appear to be balanced by a substantial strategic benefit.

    BIS response: The national security imperative for the October 7 IFR and this subsequent rulemaking is explained in section C and, with respect to the “development” and “production” of indigenous SME, immediately below in response to Topic 23. BIS's effort to regulate only the most advanced and important technologies with these rules reflects a focus on national security without interfering with commercial trade any more than necessary to accomplish national security objectives.

    Topic 23: Several commenters requested that BIS publish a list of fabs of concern. These commenters noted that to reduce uncertainty around what facilities fall under the scope of the October 7 IFR, BIS should consider publishing a list of fabs manufacturing advanced nodes covered by the October 7 IFR. These comments noted that BIS should publish an affirmative list of “semiconductor fabrication facilities” that engage in covered “development” or “production” of NOT AND (NAND), logic, or dynamic random-access memory (DRAM) integrated circuits. These commenters noted that the Entity List should be used instead of relying on § 744.23 or § 744.6. Several commenters noted that untold hours of due diligence efforts by companies could be eliminated if BIS would simply identify the covered entities. These commenters also noted that the due diligence conclusions reached by one exporter may be different from another, even for the same PRC end user, leading to an unlevel playing field.

    BIS response: BIS is aware of, and generally shares, industry's preference that BIS use the Entity List where possible in lieu of end-use controls under § 744.23 or “U.S. person” controls under § 744.6. BIS reflected this approach in the October 7 IFR by identifying 28 entities involved in the use of advanced computing items or supercomputers and intends to add additional entities to the Entity List as they are identified and approved by the End-User Review Committee (ERC). The use of the Entity List for this purpose will, like the Military End-User (MEU) List, be non-exhaustive, so exporters, reexporters, and transferors will still need to do their own due diligence when dealing with parties not identified on the Entity List with a footnote 4 designation. This SME IFR does not add any additional entities to the Entity List, but a separate Entity List rule that is on public inspection October 17, 2023, and publishing in the Federal Register of October 19, 2023, adds multiple entities that the ERC determined should be added to the Entity List. That rule, “Entity List Additions,” adds 13 entities to the Entity List for acquiring and attempting to acquire U.S.-origin items in support of China's military modernization. Specifically, these entities have developed large AI models and AI chips for defense purposes using U.S.-origin items. They are also given a footnote 4 designation, which means that items subject to the EAR, for the purpose of these license requirements, include foreign-produced items that are subject to the EAR pursuant to §  734.9(e)(2) of the EAR. As the ERC identifies and approves additional entities, those entities will be added to the Entity List on a timely basis.

    Appropriate Scope of the SME Development and Production End-Use Control for Lower-Level Items

    Topic 24: A commenter requests that BIS remove ECCNs controlled only for Anti-Terrorism (AT) reasons, i.e., 3B991 and 3B992, from § 744.23(a)(4) (former § 744.23(a)(2)(v)). The commenter noted that the removal of these AT-only ECCNs will prevent excessive and unnecessary use of unilateral controls and limit the impact of the October 7 IFR on legacy semiconductor manufacturing. The commenter noted that ECCNs 3B991 and 3B992 generally did not require a license to China prior to the October 7 IFR and have utility across the spectrum, including legacy manufacturing nodes.

    BIS response: BIS disagrees with the commenter's characterization of the scope of controls. ECCNs 3B991 and 3B992 remain uncontrolled to China generally, and § 744.23 does not impose a license requirement for the export, reexport, or transfer (in-country) of a ECCN 3B991 or 3B992 item to Macau or a destination specified in Country Group D:5 unless the item is destined for one of the end uses specified in § 744.23(a)(1) through (4), such as the “development” or “production” of integrated circuits at a facility where “production” of “advanced-node integrated circuits” occurs, or for “development” or “production” of `front-end integrated circuit “production” equipment,' and “components,” “assemblies,” and “accessories” specified in ECCN 3B001 (except 3B001.g, .h, and .j), 3B002, 3B611, 3B991 (except 3B991.b.2), or 3B992. If an exporter has “knowledge” that its 3B991 or 3B992 equipment will be used only at a facility that “produces” ICs at a legacy technology node but not “advanced-node ICs,” § 744.23(a)(2) does not apply. Furthermore, § 744.23(a)(4) does not restrict the export of ECCN 3B991 and 3B992 items destined for use in the production of ICs. Rather, it only restricts these items (among all other items subject to the EAR and specified on the CCL) destined for use in the “development” or “production” of other SME (or “parts” or “components” therefor), which if indigenized would erode the effectiveness of BIS's end-use and list-based controls.

    Topic 25: A commenter noted that it is very unlikely restrictions on the development or production of ECCN 3B991 and 3B992 items would ever be adopted by our allies and that these commodities and items used in their development and production are already widely available in China, Start Printed Page 73430 which means even if other countries were to add these controls on exports to China, the controls would still be ineffective.

    BIS response: Consistent with ECRA, BIS prioritizes engagement with relevant governments to achieve multilateral coordination of controls, including through the Wassenaar Arrangement.

    Topic 26: A commenter requests that the SME restriction under § 744.23(a)(4) (former § 744.23(a)(2)(v)) should not apply to the production of legacy SME or SME components. This commenter notes that the production of SME and SME components used for the manufacture of legacy semiconductors devices, which can generally be sent to China without a license under current multilateral and U.S. export controls (notwithstanding the October 7 IFR), can be permitted in China without affecting the ability of the United States to restrict advanced-node IC manufacturing in China.

    BIS response: BIS believes that restricting the indigenization of `front-end integrated circuit “production” equipment,' and items on the CCL therefor, is critical for the effectiveness of the end-use controls in § 744.23(a)(2). BIS welcomes additional comments on the scope of § 744.23(a)(4), including the identification of specific SME items (and related ECCNs) that are exclusively used in the manufacture of legacy-node ICs.

    Topic 27: A commenter asked for clarification whether BIS intended to include the development or production in China of masks, reticles, and mask substrates within the scope of § 744.23(a)(4) (former § 744.23(a)(2)(v)). This commenter notes that the policy purpose of the rule appears to be focused on limiting the development and production in China of semiconductor production equipment, such as etch, deposition, inspection, and lithography tools. ECCNs 3B001.g, 3B001.h, 3B001.j, and 3B991.b.2, however, refer to various types of masks, reticles, and mask substrate blanks. This commenter notes that while these items are essential in the fabrication of semiconductors, these are not production “equipment” in the traditional sense of the word as they are developed in a process that immediately precedes the front-end integrated circuit fabrication process. If BIS did not intend to affect exports for use in producing masks, reticles, or mask substrates, this commenter asks that BIS amend the provision to exclude them from its scope.

    BIS response: BIS agrees and has excluded masks and related items from the end-use scope of § 744.23(a)(4). However, BIS notes that end-use control § 744.23(a)(2) could still capture a mask, reticle, or mask substrate excluded from § 744.23(a)(4) if it is subject to the EAR and destined for use in the “development” or “production” of ICs at a facility that “produces” “advanced-node integrated circuits” (or if the technology node of the ICs is unknown) in China or Macau.

    Topic 28: A commenter noted that photomasks are not “parts,” “components,” or “equipment,” so they are outside the scope of § 744.23(a)(4) (former § 744.23(a)(2)(v)). This commenter seeks BIS's confirmation that no license would be required for exports, reexports, or transfers (in-country) of items subject to the EAR that are intended for use in photomask manufacturing in China because photomasks, even if specified in ECCN 3B001 or 3B991, are not captured within the end-use scope of § 744.23(a)(4).

    BIS response: Under the EAR, a photo mask is “equipment.” ECCN 3B991 controls “[e]quipment not controlled by 3B001 for the manufacture of electronic “parts,” “components,” and materials (See List of Items Controlled), and “specially designed” “parts,” “components” and “accessories” therefor.” ECCN 3B991.b.2.a controls “[f]inished masks.” Nonetheless, BIS has excluded these items from the end-use scope of § 744.23(a)(4) as masks are not used in the “development” or “production” of SME. See the response to Topic 27, above, for additional guidance on the treatment of masks, reticles, and mask substrates under § 744.23(a)(4) and other end-use controls.

    Appropriate Scope of SME End-Use Controls for Back-End Testing Equipment

    Topic 29: A commenter requested that BIS exclude items that are exclusively for use in back-end activities, including ECCN 3A992.a or 3B992.b.4, and EAR99 items, from §§ 744.23(a)(1) and (2) (former § 744.23(a)(1)(iii) and (iv), (a)(2)(iii) and (iv)) and 744.6(c)(2). This commenter noted that these controls impose licensing obligations over the export, reexport, and transfer to or within China or Macau of their post-production test equipment, whether subject to the EAR or not, if they would be for use in the “production” of semiconductors “at” a covered facility. This commenter noted that this location-specific control makes no policy sense with respect to their post-production test equipment, because their products have no bearing on the key characteristics of advanced-node ICs described in the definition of “advanced-node integrated circuit” (former § 744.23(a)(1)(iii)(A), (B), or (C)).

    BIS response: BIS agrees. Consistent with BIS's October 7 IFR Frequently Asked Questions (FAQ) II.A.1, which may be found at https://www.bis.doc.gov/​index.php/​documents/​product-guidance/​3211-2023-1-25-updated-faqs-for-oct-7-advanced-computing-and-semiconductor-manufacturing-equipment-rule/​file, posted on January 25, 2023, this SME IFR adds a new paragraph (a)(5) (Back-end exclusion) to § 744.23 and specifies under this paragraph that for purposes of § 744.23(a)(2), the term “production” does not apply to back-end steps, such as assembly, test, or packaging that do not alter the integrated circuit technology level. If there is a question at the time of export, reexport, or transfer (in-country) about whether a manufacturing stage is “back-end” or whether a back-end activity “alter[s] the semiconductor technology level,” you may submit an Advisory Opinion request to BIS pursuant to § 748.3(c) for clarification.

    Topic 30: A commenter noted that semiconductor automated test equipment (ATE) should be considered “use” equipment rather than “production” equipment. The commenter requested BIS confirm in its response to the comments that semiconductor ATE are, for purposes of the controls at issue in §§ 734.9(e), 744.6(c)(2), and 744.23, “use” equipment and not “production” equipment, as these terms are defined in the EAR. The commenter noted that the EAR define “use” as meaning the “operation, installation (including on-site installation), maintenance (checking), repair, overhaul, and refurbishing.” This commenter's ATE is used to check already-produced items and is not part of the semiconductor production process that is the policy concern that BIS is seeking to address in implementing the controls in § 734.9(e), § 744.6(c)(2), or § 744.23.

    BIS response: BIS does not agree that testing equipment is “use” equipment because testing is specifically listed under the definition of “production” in § 772.1 of the EAR. However, this commenter's concerns should be addressed by the new exclusion for certain “back-end” equipment under new paragraph § 744.23(a)(5).

    Topic 31: A commenter requested that BIS exclude certain items from § 744.23(a)(4) (former § 744.23 (a)(2)(v)), particularly ECCN 3B992.b.4.b and related EAR99 items for use in developing or producing other ECCN 3B992.b.4.b items, that are exclusively Start Printed Page 73431 for use in back-end activities. This commenter believes that controlling the export to China or Macau of these items is an unintended impact of the October 7 IFR. These controls have a far bigger and even more unintended impact on this commenter's U.S. suppliers of parts and components that ship to China for use in producing ECCN 3B992.b.4.b items. This commenter also requested that if a carve out for certain ECCN 3B992.b.4.b items cannot be added for “back-end” activities, BIS should issue a temporary general license (TGL) to allow continued development and production of these items in China.

    BIS response: BIS agrees that the principal underlying the exclusion for back-end testing in § 744.23(a)(5) should also apply to § 744.23(a)(4), see discussion below under section C.11. BIS has also added a new TGL to allow companies to continue exporting less restricted SME “parts,” “components,” or “equipment” to destinations in Country Group D:5 countries (including China) and Macau if the recipient is “developing” or “producing” “parts,” “components, or “equipment” at the direction of a U.S. or Country Group A:5 or A:6-headquartered company that is not majority owned by an entity headquartered in either Macau or a destination specified in Country Group D:5.

    Technology Nodes Under Advanced Node “Facility” End-Use Controls

    Topic 32: A commenter noted that the phrase “technology node” in §§ 744.6 and 744.23 does not have a consistent technical meaning and could refer to the smallest resolvable feature at varying fields or pitch characteristics. To illustrate the complexity of this issue, clever proprietary techniques ( e.g., double patterning, multi-pass) can make equipment exclusively intended for larger features capable of producing smaller features.

    BIS response: BIS agrees. This SME IFR adds a new Note to the definition of “advanced-node integrated circuits” in § 772.1 to define the term “technology node” to refer to the Logic Industry “Node Range” figure described in the “International Roadmap for Devices and Systems,” 2016 edition (“More Moore” White Paper). BIS welcomes comment on this definition in response to this SME IFR.

    Topic 33: A commenter noted that BIS needs to define half-pitch or otherwise describe how one determines whether a DRAM IC “uses a production technology node of 18 nm half-pitch or less for purposes of §§ 744.6(c)(2)(i) and 744.23(a)(2).” The commenter noted that the October 7 IFR did not do so and requested that BIS publicly identify the correct methodology.

    BIS response: BIS agrees. This rule revises §§ 734.4(a)(3), 744.6(c)(2)(i) and (ii), and 744.23(a)(2) to refer to a new definition of “advanced-node integrated circuits” set forth in § 772.1. This definition specifies the calculation methodology for determining whether a DRAM IC uses a “production technology node of 18 nanometer half-pitch or less.”

    Topic 34: A commenter requested that BIS draw a distinction between semiconductor fabrication processing test equipment, which does warrant control, and semiconductor screening test equipment, which does not. This commenter noted that there are two primary categories of semiconductor test equipment: (1) semiconductor fabrication processing test equipment, which provides measurements for process control parameters and ensures that Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD), lithography, and other pieces of equipment and additive manufacturing processes work as required to produce the semiconductor; and (2) semiconductor screening test equipment, which provides measurements used to establish if individual manufactured devices satisfy quality requirements and can be shipped. This commenter noted that former items are necessary to the proper operation of a semiconductor fabrication plant, and include essential elements used during the fabrication process to produce a viable semiconductor.

    BIS response: This comment is addressed by the addition of new paragraph § 744.23(a)(5) in this SME IFR, described in greater detail below in section C.11. BIS has created a distinction between these two types of test equipment. As described by this commenter, semiconductor fabrication processing test equipment appears to include equipment that is used in front-end integrated circuit fabrication steps, while semiconductor screening test equipment would appear to be used only in back-end production steps. If the semiconductor screening test equipment is used exclusively in back-end production stages that do not alter the technology level of the ICs produced, the equipment does not trigger the end-use scope in paragraphs § 744.23(a)(2) or § 744.6(c)(2)(i) and (ii), because this type of test equipment qualifies for the back-end exclusion under paragraph § 744.23(a)(5) and the exclusion in § 744.6(d)(3).

    SME End-Use Controls and Their Relationship to Nodes of Concern

    Topic 35: A commenter noted that § 744.23(a)(4) (former § 744.23(a)(2)(v)) overreaches because it is not tied to the end use of concern. This commenter noted that because § 744.23(a)(4) is so broad, vendors cannot supply any U.S.-origin equipment or parts that will be used in the “development” or “production” in China or Macau of any “parts,” “components,” or “equipment” specified under ECCN 3B001, 3B002, 3B090, 3B611, 3B991, or 3B992, even though such activity does not require a license under § 744.23(a)(2).

    BIS response: BIS has narrowed both the product scope and end use scope of § 744.23(a)(4) in light of U.S. national security concerns. That section has been narrowed to items subject to the EAR and specified on the CCL by this rule. As noted above, § 744.23(a)(4) restricts the “development” and “production” of items, including node-agnostic front-end tools, that would erode the effectiveness of other end-use controls on the “development” or “production” of advanced-node ICs. Section 744.23(a)(4) also more broadly inhibits the development of an indigenous ecosystem in Macau or destinations specified in Country Group D:5 for the “development” and “production” of front-end SME, which supports the longer-term effectiveness of controls with respect to advanced-node IC controls. As noted elsewhere, BIS welcomes comment on whether there are specific front-end SME items that are used exclusively in legacy production. Moreover, to address the commenter's concerns about the breadth of this control, BIS is issuing a new TGL in this SME IFR. See discussion in section C.6 of this rule.

    Topic 36: A commenter asked BIS to limit the scope of § 744.23(a)(4) (former § 744.23(a)(2)(v)) to higher-end advanced-node capabilities and exclude items used in legacy “production.” The commenter also suggested that BIS consider limiting the end-use restrictions under § 744.23(a)(4) on exports of 3B991 items to China or Macau to items capable of use in higher-end advanced-node capabilities and exclude items in paragraphs of 3B991 that are not designed for semiconductor manufacturing.

    BIS response: BIS partially adopted this recommendation by narrowing both the product scope and end-use scope of paragraph (a)(4), but not by technology level. See discussion in section C.11.c. BIS also notes that the presumption of denial license review policy leaves room for an applicant to make a case for Start Printed Page 73432 approval, unlike a policy of denial. Also note that many of the parameters for SME in ECCN 3B001 have been changed from “capable of” to “designed for.” Separately, BIS welcomes additional feedback from this commenter, or any other interested party, on whether specific 3B991 items warrant exclusion from the scope of § 744.23(a)(4), for reasons including if they are not used in IC manufacturing or are exclusively used at legacy production technology nodes.

    Requested Changes or Clarifications to § 744.23

    Topic 37: A commenter noted that difficulty in identifying fabs of concern will lead to overcompliance or delays relating to obtaining licenses that may not be needed. This commenter noted that in situations where a company is unable to determine whether a fabrication facility is a covered fabrication facility, the most likely course of action is (i) to over-comply and abandon a transaction for fear of potential non-compliance or (ii) seek a license and risk loss of the business as a result of delay, even when ultimately the fabrication facility in question is not a covered fabrication facility.

    BIS response: BIS shares concerns that the new § 744.23 from the October 7 IFR may result in over compliance or delays related to obtaining unnecessary licenses. BIS recognized similar issues with the expanded MEU List and § 744.21, but after BIS developed outreach materials, including FAQs for the application of § 744.21, these trends were reduced considerably. BIS anticipates that the addition of § 744.23 and the expanded U.S. person control under § 744.6 will follow a similar pattern.

    Narrow the Scope of § 744.23 Fabrication Controls

    Topic 38: A commenter noted that there does not appear to be a national security basis for excluding equipment sales to NAND memory fabricating facilities in China because NAND memory is so widely available on the commercial market. This regulation will harm U.S. companies and jobs while boosting the market share gain of our allies where the majority of NAND memory is manufactured.

    BIS response: BIS disagrees with this commenter's characterization of the controls. The end use control under § 744.23 and the “U.S. persons” control under § 744.6 both now reference the newly defined term “advanced-node integrated circuits” added by the SME IFR. That term specifies NAND memory as part of the criteria as well as the level of NAND memory that is a concern ( i.e., NOT AND (NAND) memory integrated circuits with 128 layers or more). This higher threshold for NAND memory was intended to distinguish between the type of items easily obtained on the open market and the types of NAND memory that represent national security and foreign policy concerns under the October 7 IFR.

    Changes to License Review Policies

    Topic 39: A commenter requested BIS replace the current one-size-fits-all presumption of denial for all license requests (under § 744.23(d)) with a review policy that accounts for the specific items involved and their potential for direct use in sensitive or advanced-node IC manufacturing.

    BIS response: BIS revised the license review policy under § 744.23(d) to include a presumption of approval license review policy when there is a foreign-made item available that is not subject to the EAR and performs the same function as the item subject to the EAR, and for end users headquartered in the United States or a destination in Country Group A:5 or A:6, that are not majority-owned by an entity headquartered in either Macau or a destination specified in Country Group D:5. As a result, the presumption of denial license review policy does not cover all transactions. In addition, the license review will take into account factors including technology level, customers, and compliance plans.

    Topic 40: A commenter noted that their company's very existence requires being able to obtain a license to continue to engage in their activities in China that would otherwise be restricted under § 744.23(a)(4) (former § 744.23(a)(1)(v)) and that the financial impact of these new regulations to this company is massive. This commenter noted that the company's engineering team has been advised to cease all operations and the company's supply chain team has no work because all exports have been put on hold. The company depends on receiving authorization to export parts, software, and technology for the development and production of ECCN 3A991.b.1.c crystal pullers, used to produce ingots and wafers, to China.

    BIS response: Upon request, BIS has authorized certain types of transactions requiring a license under § 744.23(a)(4) with authorization letters (ALs). BIS is not able to publicly confirm whether this specific commenter obtained an AL because of confidentiality requirements under ECRA. The ALs reflect a policy to impact “development” and “production” of SME by indigenous companies located in China. BIS has transitioned away from using ALs to address these types of issues to BIS licenses and other more standard means of authorization.

    Topic 41: One commenter expressed concern that the time required to obtain a license would eliminate one of its key competitive advantages for supplying EAR99 items. The commenter feared that even if they were granted a license, the delays caused by the application process for each order of their commodities would eliminate their lead-time advantage over its foreign competitors.

    BIS response: Recognizing the availability of EAR99 items from multiple sources, BIS has narrowed the product scope of § 744.23(a)(4) to items subject to the EAR and specified on the CCL, which eliminates the license requirement for EAR99 items for SME. Separately, BIS acknowledges that exports that can be made without a license are more quickly executed. However, because a purchase order is not required under the EAR to apply for a BIS license, it is possible to obtain licenses in advance, which may help address the potential for delays. BIS also notes that licenses are generally valid for a four-year period. Once the license is in place, a company may ship with the same speed at which it did previously when the items could be exported without a license. There is also the possibility that the transaction may be eligible for a TGL or exclusion. The license applicant would need to know the particulars of the transaction to apply for a BIS license.

    Additional Guidance on the Scope of SME End-Use Controls

    Topic 42: A commenter stated it is inconsistent that § 744.23(a)(4) (former § 744.23(a)(1)(v)) does not establish a license requirement for AT-controlled end-item equipment when not for “development” or “production” in the China or Macau of any “parts,” “components,” or “equipment” specified under ECCN 3B001, 3B002, 3B090, 3B611, 3B991, or 3B992, but a license is required for items destined for use in the “development” or “production” of “parts” or “components” for AT-controlled end-item equipment.

    BIS response: BIS does not believe this result is inconsistent with the policy objectives of the October 7 IFR. The purpose of § 744.23(a)(4) is to prevent the indigenous “development” or “production” of items having national security implications that could erode or circumvent the effectiveness of other end-use controls, Start Printed Page 73433 particularly § 744.23(a)(2). This objective is not affected by the export, reexport, or transfer (in-country) of AT-controlled equipment that is already developed or produced, assuming the equipment is not destined for a prohibited end use ( e.g., those enumerated in § 744.23(a)(1) and (2)).

    Topic 43: A commenter stated that § 744.23(a)(4) (former § 744.23(a)(2)(v)) does not include “incorporation” of EAR99 items into Category 3B items. This commenter notes that the wording in § 744.23(a)(4) prohibits the “development” or “production” of Category 3B items. This commenter believes that if BIS wanted to prohibit the incorporation of EAR99 items ( e.g., screws and tubing) into Category 3B items, it should have prohibited the incorporation of any item that is subject to the EAR into a Category 3B item under § 744.23(a)(4), just as it did in § 744.23(a)(2).

    BIS response: BIS has narrowed the product scope in paragraph (a)(4) to items subject to the EAR and specified on the CCL. This said, former § 744.23(a)(2)(v) would have captured the incorporation of an EAR99 item into a Category 3B item if the incorporation occurred during the “development” or “production” of the 3B item. The term “production” is defined to include all production stages, such as manufacture, integration, and assembly, each of which could encompass the activity described by the commenter, depending on the details of the scenario. However, as noted below, BIS omitted the term “incorporation” from § 744.23(a)(4) to avoid capturing incorporation of an item ( e.g., a replacement part) subject to the EAR into a 3B item after that 3B item is already “developed” or “produced.” Such incorporation would be addressed by other end-use controls. For this reason, incorporation of an EAR99 item into an item that is already “produced” ( e.g., a tool already in operation in volume production) is not within the scope of § 744.23(a)(4). These types of transactions are instead addressed under end-use controls in § 744.23(a)(2). At the same time, BIS reiterates that § 744.23(a)(4) still captures items destined for use in all stages of the “development” or “production” of such 3B equipment, up to and including qualification for ultimate use. For example, § 744.23(a)(4) would capture exports of CCL items destined for use by a research and development facility involved in qualifying unfinished 3B equipment as part of the final “development” or “production” stages for that equipment. By contrast, § 744.23(a)(4) does not capture exports of CCL items (among others) destined for the operation, installation (including on-site installation), maintenance (checking), repair, overhaul, or refurbishing of equipment that is already “developed” and “produced.” Other provisions in § 744.23(a)(2) may be applicable to this scenario.

    Topic 44: A commenter asked BIS to confirm that a U.S. person's shipment to China, from outside the United States, of foreign-origin items that are not subject to the EAR, but which are destined for use in developing or producing items described in a Group 3B ECCN, are not subject to EAR licensing requirements under § 744.23(a)(4) (former § 744.23(a)(2)(v)). This commenter noted that they asked for this clarification because § 744.23(a)(4) prohibits the unlicensed export, reexport, and transfer of items subject to the EAR if there is knowledge the items will be for the development or production of commodities described in Group 3B ECCNs. The commenter clarified that this question assumes that there are no Footnote 1 or Footnote 4 entities or other § 734.9 issues involved in the transaction. This commenter noted that the difference in scope indicates that a U.S. person's shipment of items not subject to the EAR for use in producing Group 3B items in China is not covered by the new rules.

    BIS response: Section 744.23 does not control the export, reexport, or transfer (in-country) of items not subject to the EAR, however, § 744.6 of the EAR does. Depending on the classification of the foreign item and the specific end use of the item, § 744.6(c)(2)(ii) or (iii) may impose a license requirement for items that will be for the development or production of commodities described in Group 3B ECCNs. However, foreign persons engaged in such conduct or directing U.S. persons to do so may be viewed as engaging in activities contrary to U.S. national security or foreign policy interests. Accordingly, the End-user Review Committee could add such foreign person to the Entity List. For example, see BIS's publication of Entity List additions published on December 19, 2022 (87 FR 77505).

    Topic 45: A commenter asked BIS to clarify whether a license would be required under § 744.23(a)(4) (former § 744.23(a)(2)(v)) to export an item subject to the EAR to a third party Original Equipment Manufacturer (OEM) in a third country, where there is “knowledge” at the time of the export that the item would be incorporated into a foreign-made 3B991 item (not subject to the EAR) by the OEM in the third country, and that the OEM would then send the 3B991 item to a manufacturer of Category 3 items in China. This commenter noted that § 744.23(a) does not expressly state that the “End Use Scope” includes the end use of the item into which the exported item is incorporated, and this differs from other EAR provisions, such as the foreign direct product (FDP) rules under §§ 734.9 and 744.23(a)(1)(ii)(B), which expressly include “incorporated into” as part of the end-use scope.

    BIS response: This commenter did not clarify whether they intended the “Category 3” items ( i.e., the items being developed or produced in China) to mean only items in Category 3A ( e.g., ICs) or other items in Category 3 ( e.g., items in Category 3B). Assuming the commenter refers to Category 3A items, more information would be required to determine whether the 3B991 item is “destined for” a prohibited end use, e.g., under § 744.23(a)(2). However, if the commenter refers to Category 3B items in ECCN 3B001 (except 3B001.g, .h, and .j), 3B002, 3B611, 3B991 (except 3B991.b.2), or 3B992, a license would be required to export the initial item subject to the EAR (if specified on the CCL) to the third-party OEM. Unless captured by an exclusion in § 744.23(a)(5), § 744.23(a) requires a license when there is “knowledge” at the time of export, reexport, or transfer (in-country) that an item subject to the EAR described in paragraphs (a)(1) through (4) is “destined for” a destination, end use, or type of end user described in paragraphs (a)(1) through (4) of § 744.23. Paragraph (a) of this section captures items when “you have “knowledge” at the time of export, reexport, or transfer (in-country) that the item is destined for a destination, end use, or type of end user described in paragraphs (a)(1) through (4) of this section. . . .”. Paragraph (a)(4) then describes the activities that meet the end-use scope of the prohibition, specifically the “development” or “production” of `front-end integrated circuit “production” equipment' and “components,” “assemblies” and “accessories” specified in certain Category 3, Group B ECCNs. Read together, these provisions prohibit the export, reexport, or transfer (in-country) when you have “knowledge,” at the time of export, that the item subject to the EAR that is identified on the CCL “is destined for” the “development” or “production” of `front-end integrated circuit “production” equipment' and “components,” “assemblies” and “accessories” of the covered SME set forth in paragraph (a)(4). This “knowledge” that the item “is destined for” (either in its original form or as subsequently incorporated into a Start Printed Page 73434 foreign-made product) a prohibited activity is sufficient to trigger the applicable license requirement at the time the item subject to the EAR is exported, reexported, or transferred (in-country). For this reason, BIS does not consider the incorporation of the item into a foreign-made product not subject to the EAR to be relevant to the § 744.23 license requirement. BIS officials have provided similar and consistent guidance on these types of upstream transactions that involve “knowledge” that the item “is destined for” a prohibited end use, including in the context of other part 744 end uses. As to the relevance of the term incorporation, BIS uses this term in §§ 734.9(e) and 744.23(a)(1)(ii)(B) to capture items for use in a foreign-produced item or a “supercomputer,” respectively, that may already be “produced.” As indicated in response to other comments in this rule, the absence of the term incorporation from § 744.23(a)(4) avoids capturing the incorporation (outside the context of “production”) of, e.g., replacement parts or components into SME that is already produced. If the SME is otherwise involved in a separate prohibited end use ( e.g., it is used in the “production” of “advanced-node integrated circuits”), the transaction must be analyzed separately with respect to any other relevant provisions of the EAR. Note: In this scenario, such knowledge similarly triggers a license requirement for the items identified in § 744.23(a)(4) when a person knows at the time of export that an item subject to the EAR and specified on the CCL “is destined for” (either in its original form or as subsequently incorporated into a foreign-made ECCN 3B991 product) a party listed in supplement no. 4 to part 744 of the EAR.

    Other Requested Clarifications to § 744.23

    Topic 46: A commenter asked BIS to confirm how far back up the supply chain the licensing obligation extends for an export of an item to a third party for use in developing or producing a whole new foreign-made item that will only later be used in the development or production of ICs at a covered facility. This commenter described a scenario in which someone exports an item to produce a foreign-made item, which will be used to produce another foreign-made item, which will later be used at a covered fabrication facility, and asked whether the original export is caught by the new licensing obligations if there is knowledge that this supply chain will ultimately result in the creation of an item used to produce ICs at a covered fabrication facility. The commenter further inquired about the transfer outside the United States of items subject to the EAR to produce foreign-made items when only a small percentage of the foreign-made items will be for use at a covered fabrication facility. Specifically, the commenter asked whether BIS takes the position that 100% of all such transfers require a license by the foreign parties even when only an unknown small percentage will be used in the production of items that will ultimately be destined to covered fabrication facilities.

    BIS response: If the exporter has “knowledge” at the time of export, reexport, or transfer (in-country) that the item is ultimately destined for a prohibited end use, the license requirement would extend to the original export, reexport, or transfer (in-country). If not properly authorized, then a subsequent party would be prohibited from relying on de minimis for an item that was involved in an EAR violation pursuant to § 764.2(e). See also BIS response to Topic 45.

    Topic 47: A commenter noted that clarification of § 744.23(a)(2)(iv), which has been redesignated as paragraph (a)(2)(ii) in this SME IFR is needed if this imposes an affirmative duty to know or otherwise be subject to a license requirement. The commenter asks whether this means that a license is required when a company is exporting products to China and cannot confirm whether the semiconductor fabrication facility is producing products that meet the specified criteria in paragraphs (a)(2)(iii)(A) through (C), which has been redesignated as paragraphs (a)(2)(i) and (ii) in this SME IFR.

    BIS response: Yes, if the exporter, reexporter, or transferor has “knowledge” that an item identified in § 744.23(a)(2)(iv), which has been redesignated as paragraph (a)(2)(ii) in this SME IFR will be used in the “development” or “production” of ICs in China or Macau, but does not have “knowledge” of whether such ICs are or will be “advanced-node integrated circuits,” a license is required. This BIS response would also apply to a similar scenario in which an exporter, reexporter, or transferor has positive “knowledge” that their 3B/C/D/E products are used by some number of entities engaged in legacy development/production, but they do not know how 100% of their product is used ( e.g., because they are an upstream distributor and cannot keep track of all of it). A license is required to ship 100% of the items, unless the exporter, reexporter, or transferor can determine which items of the 100% will not be used in the “development” or “production” of ICs in China or Macau, which would be excluded from the license requirement under § 744.23(a)(2)(iv), redesignated as paragraph (a)(2)(ii) in this SME IFR.

    Separate SME End-Use Controls Into Their Own Section and Provide More Specificity on Items Covered

    Topic 48: A commenter requested that it would be easier to navigate the controls in § 744.23, if the prohibitions under § 744.23(a)(2) and (4) (former § 744.23(a)(1)(iii) and (a)(2)(iii) and (a)(1)(v) and (a)(2)(v)) were in separate sections. Also given the broad scope of § 744.23(a)(4), this commenter requested creating new items level paragraphs under ECCNs 3B001, 3B002, 3B090, 3B611, 3B991, and 3B992 that identify the types of equipment that BIS intends to control under § 744.23(a)(4) rather than “catching” such a broad spectrum of semiconductor manufacturing and test equipment.

    BIS response: BIS has reformatted the controls in § 744.23(a) by combining the product scope and end use scope into one paragraph for each type of item: (a)(1) “supercomputers,” (a)(2) “advanced-node integrated circuits,” and (a)(4) semiconductor manufacturing equipment. With respect to § 744.23(a)(4), BIS clarifies here and elsewhere in this rule that a license is required for items subject to the EAR specified on the CCL when destined to an entity headquartered and located in either Macau or a destination specified in Country Group D:5 for use in the “development” or “production” of `front-end integrated circuit “production” equipment' and certain “components,” “assemblies” and “accessories” in ECCN 3B001 (except 3B001.g, .h, and .j), 3B002, 3B611, 3B991 (except 3B991.b.2), or 3B992. If the exporter “does not know” the technology node for which a 3B item will be used ( see § 744.23(a)(2)), then that is the only situation where the catch-all license requirement would apply for the export, reexport, or transfer (in-country). All the other end-use controls in § 744.23(a) now have specific product scopes.

    Acceptable Level of Due Diligence for § 744.6(c)(2)

    Topic 49: A commenter requested BIS clarify whether it would be sufficient under § 744.6 to have an end user certify that the exported item will not be used in “the “development” or “production” in China of any “parts,” “components,” or “equipment” specified under ECCN Start Printed Page 73435 3B001, 3B002, 3B090, 3B611, 3B991, or 3B992.

    BIS response: BIS interprets this comment to refer to the end-use control under § 744.23(a)(4) (former § 744.23(a)(2)(v)), as there is no U.S. person control under § 744.6(c)(2) with the characteristics described by the commenter. Obtaining an end-user statement, even if not required under the EAR, is a good compliance practice, but is not by itself determinative. The exporter, reexporter, or transferor must evaluate all the information that it obtains during the normal course of business to determine if it has “knowledge” that the item is ultimately destined for use in a prohibited activity. BIS also reminds exporters, reexporters, and transferors that they may not self-blind to avoid these license requirements and that the act of self-blinding would be a violation of the EAR.

    Topic 50: A commenter expressed concern about the October 7 IFR's restrictions on U.S. persons' activities under § 744.6(c)(2), including at semiconductor fabrication facilities and branches of certain multinational companies in China that are headquartered in the United States, South Korea, Taiwan, and other destinations. The application of such restrictions to the “shipping, transmitting, or transferring (in-country) of any item not subject to the EAR to development [of] a chip at a proscribed level” is extremely broad.

    BIS response: This SME IFR adds an exclusion in § 744.6(d)(4) for companies headquartered in the United States or in a destination specified in Country Group A:5 or A:6 and not majority-owned by an entity that is headquartered in either Macau or a destination specified in Country Group D:5. The exclusion will authorize “U.S. persons” to engage in activities that would otherwise be prohibited under § 744.6(c)(2)(i) through (iii).

    Information Needed From Other Parties To Comply With These Controls

    Topic 51: A commenter noted that most companies that ship items caught under 3B, 3C, 3D, or 3E, will not be able to determine whether items are going to a prohibited semiconductor fabrication facility, e.g., for companies that supply components or materials, as there may be many layers of purchasing between themselves and any covered fabrication facility engaged in the “development” or “production” of NAND, logic, or DRAM integrated circuits. This commenter noted that it is also possible that some companies will conclude that the new controls require exporters, reexporters, and transferors of such items to find out the answer to this question for each shipment or for group transactions.

    BIS response: BIS is aware that the end-use control under § 744.23(a)(2)(iv), which has been redesignated as paragraph (a)(2)(ii) in the AC/S IFR, may present a compliance challenge for certain exporters, reexporters, or transferors, but this control is important for protecting U.S. national security and foreign policy interests. Companies in China that are transparent with their capabilities with exporters, reexporters, and transferors will see a reduced impact of § 744.23(a)(2)(iv), now redesignated as paragraph (a)(2)(ii), and those that are not transparent will see an increased impact of § 744.23(a)(2)(ii).

    Temporary General License and Supply Chain Authorization Letters (ALs)

    Topic 52: A commenter noted that the TGL played a major role in avoiding disruptions to supply chains and that the TGL was critical to maintain continuing operations and avoid major business disruptions. This commenter also requested that the TGL be extended for at least one year to allow time to build the capacity to relocate supply chain activities outside of China.

    BIS response: BIS interprets this comment's reference to the “TGL” to refer to the supply chain ALs issued in the wake of the October 7 IFR. BIS addresses issues related to the existing TGL for 3A090 and related items in this second IFR. Separately, with respect to SME, BIS has issued a new TGL for less restricted SME “parts,” “components,” or “equipment” to address other more significant supply chain disruptions arising from the October 7 IFR. BIS's experience with the original TGL was that it played a helpful role in the initial transition to the October 7 IFR, but that it was only used by a small set of companies engaged in making ECCN 3A090 ICs and related items. Prior to April 7, 2023, when that TGL expired, these exporters, reexporters, and transferors were able to obtain other authorizations as needed to continue with these types of activities in China or Macau. For this reason, BIS does not intend to reinstate the TGL that expired.

    Topic 53: A commenter noted that the TGL from the October 7 IFR did not go far enough to eliminate all disruptions in semiconductor supply chains. This commenter noted that by forcing the termination of “non-listed activities” that had already been occurring in China, the U.S. Government caused disruptions and supply chain related delays.

    BIS response: BIS regrets that companies may have paused or ceased activities that were not ultimately restricted by the October 7 IFR and encourages industry to engage with BIS to confirm the scope of controls when needed. Separately, BIS agrees that the original TGL was not broad enough in scope to address other unintended consequences of the October 7 IFR, including those related to § 744.23(a)(4) (former § 744.23(a)(2)(v)). However, BIS addressed these issues with ALs as warranted in consideration of supply chains, and BIS has subsequently issued licenses to address other specific unintended consequences related to the supply chains of U.S. and allied-destination companies. This issue is further addressed with the issuance of a new TGL and an exclusion in this SME IFR. The TGL is further discussed in section C.6 of this rule and the exclusion to § 744.23 is discussed in section C.11.

    Topic 54: Many commenters noted that industry needs longer-term and more permanent solutions than the ALs to relieve the unintended consequences of the October 7 IFR. These comments covered concerns both with respect to multinational fabrication facilities as well as companies that employ foreign nationals from China in the “development” or “production” of Category 3B items. With respect to multinational fabrication facilities, one commenter requested that the ALs be extended with a two-year validity period.

    BIS response: BIS agrees that longer term authorizations are warranted, and that the one-year ALs were intended merely as a short-term bridge. The new TGL in this SME IFR, which is valid until December 31, 2025, temporarily authorizes specific activities with certain conditions and requirements, as applicable. BIS also notes that exporters, reexporters, and transferors may apply for BIS licenses to obtain long-term predictability or amendments to their Validated End Users (VEU) authorizations.

    Other Ways That BIS Can Consult With Industry To Better Improve the Effectiveness of Policies in This Area

    Topic 55: A commenter noted that ECRA section 1765 (50 U.S.C. 4824) requires BIS to submit to Congress by the end of the year a report on the implementation of ECRA during the previous year. Subsection (a)(2) requires that the annual report include a description of “the impact of [all that year's] controls on the scientific and technological leadership of the United States.” In addition, ECRA section Start Printed Page 73436 1752(1) (50 U.S.C. 4811(1)) states that the United States should “use export controls only after full consideration of the impact on the economy of the United States.” Similarly, ECRA section 1752(3) states that the impact of the implementation of new controls on U.S. leadership and competitiveness “must be evaluated on an ongoing basis and applied in imposing controls . . . to avoid negatively affecting such leadership.” This commenter believes that it is important for BIS to obtain formal industry input on this specific topic so that its report to Congress is accurate and complete.

    BIS response: BIS agrees that it may be beneficial to allow for public input to assist BIS in preparing this annual report. BIS intends in the next annual cycle for this report to publish a notice to solicit comments in the area. BIS will then evaluate the amount and type of public input provided to the agency to determine if continuing to publish this type of notice is worthwhile in the future.

    Advanced Computing FDP Rule—§ 734.9(h)

    Topic 56: A commenter noted that the new § 734.9(h) Advanced computing FDP rule is not needed because it is already covered by pre-existing § 734.9(b) National Security FDP rule.

    BIS response: BIS does not agree. There is some cross over between these two FDP rules, but the Advanced Computing FDP rule extends to certain items that the National Security FDP rule does not, so the Advanced Computing FDP rule is necessary to address the national security and foreign policy concerns included in the October 7 IFR.

    Meaning and Scope of `Support' Under U.S. Person Control in § 744.6(b)(6)

    Topic 57: A commenter noted that the exact definition of “support” is not clear under the October 7 IFR. BIS should consider reconfiguring certain definitions to factor in business processes in the logistics sector. This commenter requested that BIS publish additional guidance on how logistics firms can understand and apply “support” requirements to their supply chains without inducing severe operational disruptions.

    BIS response: The term `support' is defined for purposes of § 744.6 under paragraph (b)(6). BIS also notes that the term `support' is not a new term added in the October 7 IFR. However, based on the comments received in response to the October 7 IFR, BIS agrees that additional clarifications should be made on what types of activities involving `support' are excluded, such as certain logistics activities. The AC/S IFR states here that for logistics companies, the prohibited act is the actual delivery, by shipment, transmittal, or transfer (in-country), of the item and the act of authorizing the same.

    Topic 58: A commenter noted that it is unclear whether U.S. person “support” for semiconductor fabrication is limited to shipping, transmitting, transferring or servicing items for advanced PRC fabrication facilities, or if it also includes the broad scope of “support” in § 744.6(b), including performing any contract, service, or employment that you “know” may assist or benefit advanced semiconductor fabrication in China.

    BIS response: BIS's answer to FAQ IV.A2, published on its website, specifies that it only applies to § 744.6(c)(2). As such, it is intended to provide exhaustive guidance for paragraph (c)(2), but not otherwise limit the scope of § 744.6(b) or apply to other uses of the term facilitate or facilitation found elsewhere in the EAR. However, BIS also cautions “U.S. persons,” as well as any other person, that may have acquired technology or software source code in the United States, that the subsequent release of that “technology” or software source code to PRC nationals would be regulated under the EAR as a release, and if subject to the October 7 controls or the controls in either the AC/S IFR or SME IFR, will require a license.

    What activities are considered `facilitating' under the U.S. person control?

    Topic 59: Some commenters noted that there is not an adequate definition of “facilitation” under § 744.6 or any other EAR provision that provides the industry with sufficient detail to comply with the law and request licenses when necessary.

    BIS response: For purposes of § 744.6(b)(6)(iii), BIS intends facilitating such shipment, transmission or transfer (in-country) to means to make easier by helping to bring about. Facilitation does not include administrative, clerical, legal advice, or regulatory advice activities, but does include any other activity that is directly responsible for bringing about such a prohibited activity is covered under facilitation.

    Topic 60: One commenter asked BIS to assess eight types of activities and provide guidance on whether they amount to “facilitation.”

    BIS response: BIS would not consider the following five activities to be “facilitation,” provided that they are performed by administrative or clerical staff and are undertaken only to carry out a decision maker's decision to export, reexport, or transfer (in-country) items that may require a license under the EAR: provision of back-office services that help the business to function, such as IT services, financial services, or human resources support; order intake and processing; invoicing and cash or receivables collection activities; legal advice and counseling on the requirements of the EAR or other compliance obligations; and referring any matters or opportunities to non-U.S. persons. Two other activities raised by the commenter would not require a license because although they are a type of facilitation that would otherwise be prohibited, they have been authorized and, as such, the “U.S. person” could engage in these types of authorized facilitation activities: trade compliance clearance of licensed shipments or other authorized activities with PRC semiconductor customers including Entity List parties and providing administrative and limited servicing support for shipments to Entity List parties authorized by BIS licenses.

    Finally, with respect to “management oversight by U.S. persons located in China or abroad,” BIS would need additional information on whether the oversight involves decisions to export, reexport, or transfer (in-country) items that require a license under the EAR. If it did, the oversight as a type of facilitation would require a license.

    Topic 61: A commenter asked whether knowledge of a violation is a requirement to trigger the license requirements under § 744.6.

    BIS response: Yes, the “U.S. person” control under § 744.6 is triggered by “knowledge.” This SME IFR revises the paragraph (c)(2) introductory text to make this point more clearly.

    Topic 62: A commenter asked whether BIS will presume that a company's executives ( e.g., chief executive officer (CEO), chief financial officer (CFO), chief operating officer (COO), President, Board of Directors) “facilitated” a restricted transaction, even if those company executives were “U.S. persons” but did not have knowledge of a violative transaction. The commenter further asks BIS to provide distinguishing examples.

    BIS response: These types of scenarios would be case specific and may lead to different outcomes depending on the nature of the company's work and the role that the official plays in that company and in the activity at issue. If, as posited by the commenter, the official later asserted that they lacked the requisite knowledge, BIS would assess what the official knew or should have Start Printed Page 73437 known with respect to the prohibited activity. Limiting the information that would normally be coming to these officers may result in a violation of the EAR, if it is determined these steps were taken to try to avoid EAR license requirements. For officers that do receive information about transactions that may otherwise be prohibited under § 744.6, BIS would look at the role of that corporate officer and whether their decisions on behalf of the company would otherwise be prohibited under one of the `support' activities under § 744.6.

    Topic 63: A commenter asked BIS to identify what compliance methods the agency recommends for U.S. persons employed by multinational companies that engage in restricted transactions listed under § 744.6.

    BIS response: First, the entity and natural persons all should identify whether they are “U.S. persons” as defined in § 772.1. If the company is a “U.S. person,” then all activities of that company will need to be reviewed in accordance with the “U.S. person” control. If it is only certain natural persons at a company that are “U.S. persons,” then those “U.S. persons” need to be aware of the § 744.6 end-use controls and comply with those as applicable, which may involve simply excluding themselves from those types of activities or obtaining a BIS license as needed. BIS notes that the SME IFR published elsewhere in this issue of the Federal Register also adds several exclusions to § 744.6(d), which may be applicable as well.

    C. Expansion of Export Controls on Semiconductor Manufacturing Items

    This section describes the specific EAR revisions adopted in this IFR, which expand and refine the October 7 IFR with respect to semiconductor manufacturing and SME and addresses the national security concerns that led to an expansion of the country scope for SME and related software and technology.

    Overview of EAR Amendments

    Principally, this rule removes ECCN 3B090 and replaces and expands its provisions in ECCNs 3B001 and 3B002. This rule also harmonizes revisions to controls on associated software and technology therefor. Among other harmonizing changes, BIS revises the heading of ECCN 3B001 by adding the phrase “and equipment for manufacturing semiconductor manufacturing equipment” to reflect the expanded scope of items in this ECCN. BIS also adds a definition for “Extreme Ultraviolet” (“EUV”) to § 772.1 because this term is now used within multiple ECCNs under 3B001, 3B002, and 3D003. Specific changes to ECCNs 3B001, 3B002, 3D001, and 3E001 as well as information about the removal of ECCN 3B090 are described below, in sequential order of the ECCNs; see sections C.1 through C.4 of this rule. The rule also imposes 0% de minimis for ECCN 3B001.f.1.b.2.b (specified lithography equipment), discussed in section C.5 of this rule. The addition of a new TGL is discussed in section C.6. BIS also notes restrictions under § 740.2(a)(9) on the use of license exceptions for any of these ECCNs, discussed in section C.7 of this rule.

    BIS has determined that the newly added items under ECCNs 3B001 and 3B002, and associated software and technology therefor, are, with limited exceptions, only used for fabricating logic ICs with non-planar transistor architecture or with a “production” `technology node' of 16/14 nanometers or less. These items are controlled for National Security (NS) and Regional Stability (RS) reasons, and those changes are discussed in sections C.8 and C.9, respectively. As noted above, although these items are not yet formally controlled under a multilateral regime, the urgency and criticality of the U.S. national security concerns stated in section A dictate control pending adoption through the Wassenaar Arrangement. Each of the items added with this SME IFR are key to production of “advanced-node integrated circuits,” such as, advanced memory integrated circuits that will be necessary to enable new platforms to leverage advanced analytics or autonomy in ways that will be essential to the twenty-first century battlefield. Their inclusion in these controls reflect BIS's focused approach based on the critical national security applications of the most advanced ICs. For those that already hold a license that covers the expanded scope of controls, there is no need to reapply for a license.

    This rule also revises the activities of “U.S. persons” controls in § 744.6 as well as § 744.23 regarding “supercomputer,” “advanced-node integrated circuits,” and semiconductor manufacturing equipment end use controls, and those changes are discussed in sections C.10 and C.11, respectively. The rule also adds two new definitions to § 772.1, “advanced-node integrated circuits” and “extreme ultraviolet,” which are discussed in section C.12.

    National Security Considerations for Expanding Controls and Country Scope

    This rule also expands the country scope of the controls for the items in this rule from “China and Macau” to “Macau or destinations specified in Country Group D:5” of supplement no. 1 to part 740. BIS imposed these new controls to protect U.S. national security interests by restricting China's military modernization efforts and degrading its ability to violate human rights, as well as the national security threats posed by other arms embargoed countries. The advanced computing integrated circuits (ICs), semiconductor manufacturing equipment (SME) essential to producing advanced-node ICs, and items used to further supercomputing capacity controlled through the October 7 IFR have profound implications for the future of international security. They are critical for the further development of not only weapons of mass destruction (WMD) but also many concerning emerging technologies such as advanced AI systems, autonomous weapons, cyberweapons, hypersonics, as well as high-tech surveillance applications which China has stated it will use in its next generation military capabilities and to engage in activities contrary to democratic values. These advances will result in future challenges to the United States' and partners' militaries as China pushes towards its goal of fielding a military by 2027 designed to deter U.S. intervention in a future cross-Strait crisis.

    The destinations described in Country Group D:5 and Macau are those BIS has previously identified as being destinations of national security concern, WMD developing countries, diversion countries of concern or as a country subject to a U.S. arms embargo or sanction, United Nations Security Council sanction, or countries that the Secretary of State has determined to be State Sponsors of Terrorism. Adding a license requirement for destinations in Country Group D:5 (which includes all the countries in Country Group E, plus countries such as Afghanistan, Belarus, China, Iraq, Libya, Syria, Russia, and Venezuela) will provide greater visibility into the flow of semiconductor manufacturing equipment, associated development and production technology and software, as well as specially designed parts, components and assemblies therefor to other countries and their intended end uses. As noted in the February 6, 2023 Annual Threat Assessment of the U.S. Intelligence Community, “foreign intelligence services are adopting cutting-edge technologies—from Start Printed Page 73438 advanced cyber tools to unmanned systems to enhanced technical surveillance equipment—that improve their capabilities and challenge U.S. defenses.” The report noted that potential advances in semiconductors and high-performance computers by adversaries, including China, could pose challenges to the U.S. military.

    China in its latest Five-Year Plan is attempting to generate a self-sufficient design and production capacity of “advanced-node integrated circuits” to create “secure and controllable” indigenous supply chains. The United States—as a leader in the SME industry—must focus on and regulate the next increment of semiconductor development by controlling the export of critical SME and associated development and production technology and software, as well as activities of U.S. persons that support such SME development and production in countries of concern. These measures will help ensure “advanced-node ICs” are not going to end users and end uses of concern, which would threaten national security.

    The expanded country scope is implemented through amendments to §§ 742.4 and 742.6, national security and regional stability reasons for control respectively, which are discussed in sections C.8 and C.9 of this rule.

    1. Revisions to ECCN 3B001

    This section discusses the amendments to ECCN 3B001. No changes were made to ECCN 3B001 paragraphs .b, .e, or .g through .j. The heading of ECCN 3B001 is revised by adding the phrase “and equipment for manufacturing semiconductor manufacturing equipment” after the word “materials.”

    The License Requirement table is revised to apply NS:2 controls only to items listed in ECCN 3B001 prior to adoption of this rule. Newly listed ECCNs (3B001.a.4, c, d, f.1.b, and k to p, described below) are controlled for NS, RS, and AT reasons, as identified in new paragraphs under §§ 742.4(a)(4) (NS) and 742.6(a)(6) (RS), which applies only to Macau and destinations specified in Country Group D:5. All of the items in the ECCN continue to be controlled for Anti-Terrorism (AT) reasons and subject to an AT:1 license requirement. The License Requirement table is revised to identify these reasons for control.

    License Exception Shipments of Limited Value (LVS) eligibility is revised by removing eligibility for semiconductor manufacturing equipment specified in ECCN 3B001.a.4, c, d, f.1.b, k to p. Only license exceptions found in § 740.2(a)(9) of the EAR may be used for specified semiconductor manufacturing equipment such as this.

    ECCN 3B001.a.4 is added to control equipment designed for silicon (Si), carbon doped silicon, silicon germanium (SiGe), or carbon doped SiGe epitaxial growth with specified parameters. BIS notes that the material referred to in 3B001.a.1 do not contain silicon and that the material in ECCN 3B001.a.4 includes silicon and silicon plus other specified elements. Items that are specified in ECCN 3B001.a.4 are controlled for NS reasons under § 742.4(a)(4) and RS reasons under § 742.6(a)(6)(i). Consistent with § 742.4(b)(2) and (10), items specified in ECCN 3B001.a.4 will be reviewed consistent with license review policies in § 744.23(d) of the EAR, except applications will be reviewed on a case-by-case basis if no license would be required under other provisions in part 744 of the EAR. The equipment included in ECCN 3B001.a.4 uses high-vacuum or inert environment technology to ensure highly clean and controlled conditions during the epitaxial growth process.

    ECCN 3B001.b is revised to add “Semiconductor wafer fabrication” in front of “equipment designed for ion implantation” in order to limit the application of this control to specific equipment.

    ECCN 3B001.c previously was used to control anisotropic plasma dry etching that was decontrolled in 2015 due to availability from countries that do not participate in the Wassenaar Arrangement. ECCN 3B001.c.1 is now added to establish controls on equipment designed for dry etching, including isotropic dry etching as specified (ECCN 3B001.c.1.a) and anisotropic dry etching as specified (ECCN 3B001.c.1.b and c.1.c). The atomically precise equipment described in this rule is only available from Wassenaar Arrangement Participating States. Isotropic dry etching is required for lateral etching. Gate-All-Around Field Effect Transistors (GAAFETs) and similar 3D structures with different brand names require lateral etching with high selectivity. Atomic layer etching enhanced by the features described in ECCN 3B001.c.1.a., b., and c. produce the vertical edges required in high-quality, leading-edge advanced devices and structures, including GAAFET and similar 3D structures. Note 1 is added to inform the public that ECCN 3B001.c includes etching by `radicals', ions, sequential reactions, or non-sequential reactions. Note 2 is added to inform the public of the types of etching that are included in the scope of ECCN 3B001.c.1.b, e.g., etching using RF pulse excited plasma, plasma atomic layer etching, and plasma quasi-atomic layer etching. In addition, two technical notes are added to define two terms used in the control text of ECCN 3B001.c.1.a, c.2, and ECCN 3B001.c Note 1, which are `silicon germanium-to-silicon (SiGe:Si) etch selectivity' and `radical,' now defined in Technical Notes 1 and 2, respectively.

    ECCN 3B001.c.2 is added to control equipment designed for wet chemical processing and having a largest `silicon germanium-to-silicon etch selectivity' ratio of greater than or equal to 100:1. The definition for the term `silicon germanium-to-silicon (SiGe:Si) etch selectivity' is found in Technical Note 1 to ECCN 3B001.c. Wet chemical processing is used for a variety of purposes, from chemical removal of material (wet etching) to deposition of material (electroplating), to sample cleaning, to the creation of patterns on the surface using optical lithography techniques. This particular equipment is controlled because of its high etch selectivity ratio, which is important to IC fabrication at more advanced technology nodes.

    ECCN 3B001.d historically was applied to control deposition equipment that was then decontrolled because of technological advancements and foreign availability. The paragraph was reserved but is now being utilized again to control semiconductor wafer fabrication deposition equipment used today to manufacture advanced-node ICs. Contacts and lower interconnects are the smallest and most critical wiring layers delivering current to transistors, and due to continued geometric scaling of logic semiconductors, these metal layers now create a bottleneck to transistor performance. The items added to ECCN 3B001.d.3, d.4, d.5, and d.8 include advanced fabrication equipment designed for metal deposition of the barrier layer, liner layer, seed layer, or cap layer of metal interconnects.

    ECCN 3B001.d.1 (former ECCN 3B090.a.1) is revised by adding the word “designed,” to better focus controls. This rule also revises the control to include “cobalt (Co) electroplating or cobalt electroless-plating deposition” in response to feedback from public comments. Electroplating has long been used to deposit metal on substrates in the semiconductor industry. In advanced-node IC manufacturing, a barrier layer such as cobalt (Co) is necessary to block the diffusion of copper into the surrounding material. Start Printed Page 73439

    ECCN 3B001.d.2 (former ECCN 3B090.a.2) is revised by adding the phrase “equipment designed for” and replacing the phrase “capable of” with “by performing,” to better focus the controls. The phrase “capable of” was replaced because BIS determined the phrase could unintentionally capture equipment used to produce logic ICs at legacy technology nodes. Using “by performing” more precisely controls equipment that is used to produce logic ICs at the advanced technology node. Therefore, consistent with BIS's focused approach to these controls and to aid with export control compliance, these controls are based on the designed performance of the equipment. In addition, periodic table symbols for elements are also added throughout this ECCN. Finally, BIS revised the scope of this control to provide greater specificity on the types of tungsten-based capabilities subject to control.

    ECCN 3B001.d.3 (former ECCN 3B090.a.3) is revised by replacing “capable of fabricating” with “designed to fabricate,” for the reasons noted above in relation to ECCN 3B001.d.2, and by replacing “within” with “by multistep processing within a single chamber.”

    ECCN 3B001.d.3.a (former ECCN 3B090.a.3.a) is revised by replacing “depositing a layer using” with “deposition of a tungsten layer, using an organometallic tungsten (W) compound” and replacing “between” with “greater than” and “less than.” Subparagraph 3B001.d.3.b (former ECCN 3B090.a.3.b) is revised by replacing “conducting a” with “a plasma process using hydrogen (H2),” and replacing “where the chemistries include” with “including hydrogen and nitrogen (H2 +N2) or ammonia (NH3),” and adding periodic table symbols or names for elements in this subparagraph.

    ECCN 3B001.d.4 contains descriptive introductory text that includes two common parameters that apply to all the paragraphs in ECCN 3B001.d.4, which establishes control of SME or systems designed for multistep processing in multiple chambers or stations and maintaining high vacuum (equal to or less than 0.01 Pa) or inert environment between process steps. Introductory text in ECCN 3B001.d.4.a (former ECCN 3B090.a.4) is revised by replacing “capable of” with “designed to fabricate,” for the reasons noted above in relation to ECCN 3B001.d.2. Clarifications are made to ECCN 3B001.d.4.a.1 through a.3 (former ECCN 3B090.a.4.a, a.4.b, and a.4.c), such as adding periodic table symbols or chemistry formulas and replacing “between” with “greater than” and “less than.”

    ECCN 3B001.d.4.b (formerly ECCN 3B090.a.5) is revised by cascading the control text into a header and two subparagraphs for easier readability and clarity. A note is retained that followed what had been ECCN 3B090.a.5 and indicating that the control does not apply to equipment that is non-selective.

    ECCN 3B001.d.4.c (formerly ECCN 3B090.a.8) is revised by replacing “capable of” with “designed for,” for the reasons noted above in relation to ECCN 3B001.d.2 and tightening up other text referring to pressure and temperature in the related items paragraphs.

    ECCN 3B001.d.4.d (formerly ECCN 3B090.a.9) controls equipment designed to fabricate copper interconnects, including those performing all the following processes: deposition of cobalt or ruthenium layer using an organometallic compound (see ECCN 3B001.d.4.d.1) and deposition of a copper layer using a physical vapor deposition technique (see ECCN 3B001.d.4.d.2).

    ECCN 3B001.d.5 is added to control equipment designed for plasma enhanced chemical vapor deposition of carbon hard masks meeting specified parameters. As the feature size of semiconductor devices decreased, a carbon hard mask film with higher etching selectivity and higher transparency is required for manufacturing.

    ECCN 3B001.d.6 (formerly ECCN 3B090.a.10) is revised to add “Atomic Layer Deposition (ALD)” to clarify the type of equipment that is designed for area selective deposition of a barrier or liner using an organometallic compound. Atomic layer deposition (ALD) equipment has become a critical enabler of today's most advanced devices and the industry's transition to 3D architectures. On the wafer substrate, the ALD processes build up material directly, a fraction of a monolayer at a time to build the thinnest, most uniform films possible. The self-limiting nature of the processes and the related capacity for conformal deposition are the basis for its importance as a 3D scaling enabler, such as in the fabrication of 3D DRAM, 3D NAND, and FinFET/GAAFET logic.

    The ECCN 3B001.d.7 (formerly ECCN 3B090.a.11) control for Atomic Layer Deposition (ALD) equipment is revised by replacing the words “capable of” with “designed to” for the reasons noted above in relation to ECCN 3B001.d.2. BIS also revised the control to remove “cobalt,” which is addressed by other revisions in ECCN 3B001.d.2. Further, BIS removed the phrase “void free fill” in favor of “fill an entire interconnect” to clarify that equipment designed only for ALD of a tungsten layer (rather than to fill an entire interconnect) or for ALD in channels of specified width) is not controlled. BIS also removed the phrase “having an aspect ratio greater than 5:1.”

    ECCN 3B001.d.8 (formerly ECCN 3B090.a.7) controls certain ALD equipment of `work function metals,' however the parameters are clarified to be more specific. A technical note that defines `work function metal' is moved to this paragraph but remains unchanged.

    ECCN 3B001.d.9 is added to establish control of spatial ALD equipment having a wafer support platform that rotates around an axis having any of the following: a spatial plasma enhanced ALD mode of operation, a plasma source, or a plasma shield or means to confine the plasma to the plasma exposure process region. These features help reduce unwanted particles in the deposition process to a degree needed for the fabrication of advanced-node ICs.

    ECCN 3B001.d.10 is added to establish control of equipment designed for ALD or chemical vapor deposition (CVD) of plasma enhanced low fluorine tungsten films. This equipment is critical in filling voids in advanced-node device structures with higher and increasingly narrow aspect ratios, which minimizes resistance and improves performance.

    ECCN 3B001.d.11 is added to control equipment designed to deposit a metal layer and maintain a specified vacuum or inert gas environment, including equipment designed for a chemical vapor deposition or cyclic deposition process by performing deposition of a tungsten nitride layer. This equipment is needed to achieve defect-free deposition of tungsten, which is critical to the production of advanced-node ICs.

    ECCN 3B001.d.12 is added to establish control of equipment designed for depositing a metal layer and maintaining a specified vacuum or inert gas environment, including equipment designed for selective tungsten growth without a barrier and equipment designed for selective molybdenum growth without a barrier. This equipment enables the manufacture of contacts with significantly lower resistivity, which is important to the fabrication of advanced-node ICs.

    ECCN 3B001.d.13 is added to establish control of equipment designed for depositing a ruthenium (Ru) layer using an organometallic compound, while maintaining the wafer substrate at Start Printed Page 73440 a specified temperature. The deposition of a Ru layer under the specified conditions is important to achieving lower resistivity interconnects needed for the fabrication of advanced-node ICs.

    ECCN 3B001.d.14 is added to control deposition equipment assisted by remotely generated radicals enabling the fabrication of a silicon and carbon containing film having specified properties. This specific process promotes good cycle stability of the film, which is important in the fabrication of advanced-node ICs.

    ECCN 3B001.d.15 is added to control equipment designed for void free plasma enhanced deposition of a low-k dielectric layer in gaps between metal lines with specified parameters. A low-k CVD barrier film reduces the dielectric constant (k) of copper damascene structures to lower capacitance (power consumption), which enables fabrication of more advanced integrated circuits.

    ECCN 3B001.d.16 is added to control deposition equipment with capabilities similar to those described in new ECCN 3B001.d.14, but which also meets certain temperature requirements, has the capability to hold multiple vertically stacked wafers, and has certain injector configurations, as specified.

    ECCN 3B001.f.1 “Align and expose step and repeat (direct step on wafer) or step and scan (scanner) equipment for wafer processing using photo-optical or X-ray methods” is revised to establish controls in ECCN 3B001.f.1.b for equipment that have a light source wavelength equal to or longer than 193 nm meeting certain parameters, and adding two paragraphs under ECCN 3B001.f.1.b.2 to capture items with a maximum `dedicated chuck overlay' less than or equal to 1.50 nm, or greater than 1.50 nm but less than or equal to 2.4nm, respectively. The technical note for ECCN 3B001.f.1.b is also revised to add a definition for `dedicated chuck overlay.' The equipment meeting the parameters in ECCN 3B001.f.1.b.2.b is not eligible for de minimis treatment with one exception as set forth in § 734.4(a)(3) of the EAR as described below in section C.5. This change recognizes the advancement of the state-of-the-art in immersion lithography equipment and the corresponding decrease in minimum resolvable feature (MRF) size of advanced-node ICs. This equipment is necessary to improve resolution by reducing the total edge placement error, which is a measure of the accuracy between pattern overlays on the same exposure mask level. The definition for “Extreme Ultraviolet” (“EUV”) is moved from the technical note located after ECCN 3B001.j.2 to § 772.1 as an EAR defined term, because the term is used in ECCNs 3B001, 3B002, and 3D003. The addition of this term to § 772.1 is described below in section C.12.

    ECCN 3B001.k is added to establish controls on equipment designed for ion beam deposition or physical vapor deposition of multi-layer reflector for “EUV” masks. ECCN 3B001.l is added to establish controls on “EUV” pellicles and ECCN 3B001.m is added to establish controls on equipment for manufacturing “EUV” pellicles. Masks, reticles, and associated pellicles are critical components for EUV lithography, which itself enables fabrication of very small feature sizes used at more advanced production nodes. Masks for EUV lithography have many features that uniquely suit them for EUV lithography, e.g., they have a low thermal expansion low defect glass blank and operate in the reflection mode, whereas masks for 193 nm and 248 nm lithography technology operate in the transmission mode.

    ECCN 3B001.n is added to establish controls on equipment designed for coating, depositing, baking, or developing photoresist formulated for “EUV” lithography, which as noted above is critical for production of advanced-node ICs.

    ECCN 3B001.o is added to establish controls of semiconductor wafer fabrication annealing equipment with specified parameters. In the case of silicon wafers, annealing is often used to improve the surface roughness and crystal quality of the wafer. It can also be used to remove defects and impurities from the surface of the wafer. This removal is even more critical in the production of wafers used to fabricate advanced-node ICs given their smaller feature sizes.

    ECCN 3B001.p is added to establish control of three types of semiconductor wafer fabrication cleaning and removal equipment.—Frequent removal of contaminants and wafer cleansing is critical during the manufacture of advanced-node integrated circuits. At advanced technology nodes any contaminant, unwanted particles or debris, in the nanometer range, can easily cause short circuits that would disable an IC.

    ECCN 3B001.p.1 controls equipment designed for removing polymeric residue and copper oxide film and enabling deposition of copper metal in a vacuum (equal to or less than 0.01 Pa) environment. BIS notes that this control does not capture deposition equipment that is not elsewhere specified, but which may also have the capability described in the control.

    ECCN 3B001.p.2 controls single wafer wet cleaning equipment with surface modification drying. BIS notes that this control is not intended to capture planarization equipment that may incorporate “cleaning” and “drying” steps as part of its overall process. Planarization is a process used in semiconductor manufacturing to polish wafers, rather than to clean wafers.

    ECCN 3B001.p.3 controls equipment designed for dry surface oxide removal preclean or dry surface decontamination. As with ECCN 3B001.p.1, BIS notes that this control does not capture deposition equipment not elsewhere specified, but which may also have the capability described in the control. However, BIS notes that any components or attached chambers providing such capability would be controlled when exported, reexported, or transferred (in-country) as a separate item.

    2. Revisions to ECCN 3B002

    The heading to ECCN 3B002 is revised by adding “or inspection” before equipment and “or inspecting” after testing because inspection equipment is added to this ECCN. License Exception LVS eligibility is revised to remove eligibility for semiconductor manufacturing equipment specified in ECCN 3B002.b and c. Only license exceptions found in § 740.2(a)(9) of the EAR may be used for specified semiconductor manufacturing equipment such as this. Former paragraph 3B002.c is redesignated as paragraph 3B002.b and new paragraph 3B002.c is added to establish control of inspection equipment designed for “EUV” mask blanks or “EUV” patterned masks. Semiconductor inspection tools increase production throughputs by optimizing processes and improving quality and yields, and specialized versions of these tools are required for inspection at advanced technology nodes enabled by EUV, and therefore warrant NS and RS controls for EUV (high-end) masks. The definition for “Extreme Ultraviolet” (“EUV”) that this rule adds to § 772.1, as described below in section C.12, applies to that term as it is used in ECCN 3B002.c.

    3. Removal of ECCN 3B090 and Conforming Changes

    BIS added ECCN 3B090 to the CCL in the October 7 IFR. This rule removes ECCN 3B090 because it was determined that controls on SME should be placed with similar equipment specified in previously existing ECCNs, e.g., 3B001, for ease of compliance, enforcement, and because BIS anticipates that these items will be the subject of future formal Start Printed Page 73441 multilateral controls, as discussed above.

    Licenses issued by BIS for equipment that was classified under ECCN 3B090, but is now under ECCN 3B001, remain valid until expiration, unless suspended or revoked. For export clearance purposes for licenses involving ECCN 3B090 items, exporters must use the new 3B001, consistent with § 750.7(c)(1)(viii). This concept also applies to all other ECCN redesignations that occur as a result of this SME IFR. Exporters must list the new ECCN classification on any export clearance documentation filed after the effective date of this rule.

    4. Revisions to ECCNs 3D001, 3D002, 3D003, and 3E001

    The license requirement tables of ECCNs 3D001, 3D002, and 3E001 are revised following the same pattern as the table revisions for ECCNs 3B001 and 3B002, described above. For all three ECCNs, new NS and RS license requirements rows are added for software and technology related to newly added SME in ECCN 3B001.a.4, c, d, f.1.b and k to p when destined to or within Macau or destinations specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. The related changes to §§ 742.4(a)(4) and 742.6(a)(6) of the EAR are discussed in section C.8 and C.9, respectively. All items in these ECCNs, including these newly listed SME, are also controlled for AT reasons and subject to an AT:1 license requirement. The License Requirement table is revised to identify these reasons for control.

    Because of the addition of RS controls, in ECCNs 3D001 and 3D002, License Exception TSR eligibility is revised to include “N/A for RS,” as TSR eligibility is for items that require a license for NS reasons only. For ECCN 3E001, TSR eligibility is also revised for the same reasons, but adds N/A for NP and RS.

    In addition to the changes described above, the heading of ECCN 3D002 is revised by expanding the scope to include newly added SME in ECCN 3B001.k to p. In addition, the reporting requirement is removed, as ECCN 3D002 does not appear in supplement no. 2 to part 774—Sensitive List.

    The heading of ECCN 3D003 is revised by adding double quotes around the newly defined term “EUV,” because that term is defined now defined in § 772.1 of the EAR.

    This rule also makes an additional clarification to ECCN 3E001. In ECCN 3E001, this rule revises the Regional Stability control in the License Requirements section Control(s) column to remove the phrase “or “software” specified by ECCN 3D001 (for ECCN 3A090 or 3B090 commodities)” because it is no longer needed. This rule is removing technology controls for ECCN 3D001 software (for ECCNs 3A090 and 3B090 commodities) because the technology related to software is simply source code, which is generally classified as software, so there is no need for a separate technology control under ECCN 3E001 for ECCN 3D001 software.

    Only license exceptions found in § 740.2(a)(9) of the EAR may be used for technology or software for specified semiconductor manufacturing equipment.

    5. Addition of § 734.4(a)(3) 0% De Minimis Rule for ECCN 3B001.f.1.b.2.b Items

    This rule revises § 734.4 by adding a new paragraph (a)(3) to specify that there is no de minimis level for lithography equipment and “specially designed” items therefor meeting the parameters in ECCN 3B001.f.1.b.2.b when destined for use in the “development” or “production” of “advanced-node integrated circuits,” except when the country from which the foreign-made item was originally exported or reexported has the item listed on its export control list. In other words, if the other country maintains an equivalent export control for equipment meeting the parameters of ECCN 3B001.f.1.b.2.b, BIS does not need to impose additional controls on the export from abroad, or the reexport or transfer (in-country) of these foreign-made items. BIS is adding a footnote with information concerning any countries that maintain an equivalent export control.

    Retention of BIS Jurisdiction

    For exports from abroad from any other country, and subsequent reexports or transfers to or within any other country of items that were exported from abroad from a country that does not maintain equivalent controls, BIS retains jurisdiction over such foreign-made equipment to protect U.S. national security and foreign policy interests.

    6. Revisions to the Temporary General License in Supplement no. 1 to Part 736—General Orders

    Effective November 17, 2023, this rule revises paragraph (d) of (General Order No. 4) under supplement no. 1 to part 736 by removing the October 7 IFR TGL and adding a new TGL.

    This SME IFR adds a new TGL under paragraph (d)(1) for companies headquartered in the United States or a destination specified in Country Group A:5 or A:6 that send CCL items to manufacturing facilities in a Country Group D:5 country or Macau for the “development” or “production” of “parts,” “components,” or “equipment” of certain Category 3B ECCNs specified in § 744.23(a)(4). The TGL overcomes the license requirements described in § 744.23(a)(4) (former § 744.23(a)(2)(v)) when (1) the items exported, reexported, or transferred (in-country) are subject to the EAR, specified on the CCL, and controlled only for AT reasons, and (2) the items are exported, reexported, or transferred (in-country) at the direction of a company that is headquartered in the United States or a destination specified in Country Groups A:5 or A:6, and not majority-owned by a company headquartered in either Macau or a destination specified in Country Group D:5. The purpose of this TGL is to provide SME producers in the United States and Country Groups A:5 and A:6 countries additional time to identify alternative sources of supply outside of arms-embargoed countries, or to acquire individually validated licenses to continue manufacturing `front-end integrated circuit “production” equipment' and related “parts” and “components” in such countries. In keeping with that goal, this TGL is valid from November 17, 2023, through December 31, 2025.

    As noted below in section C.11, the overarching purpose of § 744.23(a)(4) (former § 744.23(a)(2)(v)) is to inhibit the indigenization of `front-end integrated circuit “production” equipment' and related “parts” and “components” that would render the end-use controls in § 744.23(a)(2) obsolete. BIS has narrowed the scope of § 744.23(a)(4) to focus on the types of equipment ( i.e., front-end) that are most likely relevant to the “production” of “advanced-node integrated circuits,” which may include node-agnostic tools specified in ECCNs controlled for only AT reasons. As noted in section C.11, BIS welcomes comment on whether there are ECCNs that should be excluded from the end-use scope because they are exclusively used in the “production” of legacy-node integrated circuits.

    In keeping with that goal, new paragraph (d)(4) (End-use and end-user restrictions) states that the TGL cannot be used for the indigenous “development” or “production” of Category 3B tools in either Macau or a destination specified in Country Group D:5, i.e., where the “part,” “component,” or “equipment” is “developed” or “produced” at the Start Printed Page 73442 direction of an entity that is headquartered in either Macau or a destination specified in Country Group D:5. Paragraph (d)(4)(i) also specifies that the TGL does not overcome the license requirements of § 744.11 or § 744.21 of the EAR when an entity listed in supplements no. 4 or 7 to part 744 is a party to the transaction as described in § 748.5(c) through (f) of the EAR, or when there is knowledge of any other prohibited end use or end user.

    Lastly, new paragraph (d)(5) (Recordkeeping requirements) specifies that all exports, reexports, transfers (in-country), and exports from abroad shipped under the authorization of the TGL are subject to the recordkeeping requirements of part 762. Paragraph (d)(5) states that the records subject to this recordkeeping requirement include but are not limited to directives to the parties that are eligible to use this TGL and a list of the parties that have received directives. Each party that issues or acts upon a directive is responsible for keeping a record of that directive.

    7. Revisions to § 740.2 License Exception Restrictions

    This rule also restructures § 740.2(a)(9) by addressing SME in paragraph (a)(9)(i) and advanced computing and supercomputer items in paragraph (a)(9)(ii). This rule also revises § 740.2(a)(9) by replacing references to 3B090 with references to new ECCNs 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c, or associated software and technology in ECCN 3D001, 3D002, 3D003, or 3E001. As a result, these items remain ineligible for all license exceptions other than License Exception GOV. This SME IFR expands the availability of License Exception GOV for both SME and advanced computing and supercomputer items to all of the United States Government under § 740.11(b), consistent with policy that GOV should be available for U.S. Government use or for those acting for or on behalf of the U.S. Government.

    In addition, for ECCNs 3A090 and 4A090 items, as requested in public comments on the October 7 IFR, this SME IFR also amends § 740.2(a)(9)(ii) to add eligibility for License Exception TMP under § 740.9(a)(6), so that eligible companies may temporarily send foreign-produced advanced computing items for inspection, test, calibration, and repair to Macau or destinations specified in Country Group D:5, as well as transfer within those destinations for inspection, test, calibration, and repair. Not including License Exception TMP for § 740.9(a)(6) in the October 7 IFR was an inadvertent oversight, which as the commenters correctly noted would undermine the usefulness of License Exception RPL, which was included in the October 7 IFR for these items.

    8. Addition and Reformatting of § 742.4 National Security Controls

    This rule amends § 742.4 by reformatting paragraph (a) for easier navigation and readability, as well adding a new paragraph (b)(2) and paragraph (d) for license exception guidance. Specifically, a sentence is added to the introductory text of paragraph (a) to explain the basis for most of the items controlled for National Security reasons on the CCL. Paragraph (a) is now cascaded into separate paragraphs for ease of reading and navigation. Paragraph (a)(1) describes NS:1 license requirements, paragraph (a)(2) describes NS:2 license requirements, paragraph (a)(3) describes NS-related license requirements for ECCN 6A003.b.4.b, and paragraph (a)(4) is added to describe NS related license requirements for certain SME and associated software and technology, which is for the newly added SME in ECCNs 3B001 and 3B002, associated software in ECCNs 3D001 and 3D002, and associated technology in 3E001. A license is required for exports and reexports to either Macau or destinations specified in Country Group D:5 of commodities specified in ECCNs 3B001.a.4, c, d, f.1.b, k to p, and 3B002.b and c and their associated software and technology.

    Paragraph (b) is amended by adding an introductory sentence that includes former paragraph (b)(3) and explains that if a license application meets the criteria of more than one of the paragraphs in (b), then the most restrictive license policy will be applied. This rule also adds subject headings to each license policy paragraph to assist with navigation within paragraph (b). This rule moves the text from paragraph (b)(2) to the end of paragraph (b)(1)(i), because this further explains license review policy for exports and reexports to destinations in Country Group D:1. The license policy in former paragraph (b)(1)(iii) for 9x515 to China and destinations in Country Group E:1 is combined with the license policy for “600 series” items in former paragraph (b)(1)(ii), because these destinations are also in Country Group D:5 and the corresponding licensing policy, consistent with § 126.1 of the International Traffic in Arms Regulations (ITAR) (22 CFR chapter I, subchapter M) for such destinations, would be a policy of denial. The combined license policy is now in paragraph (b)(1)(ii).

    This rule adds a new paragraph (b)(2) indicating license applications will be reviewed consistent with license review policies in § 744.23(d) of the EAR, except applications will be reviewed on a case-by-case basis if no license would be required under part 744 of the EAR. License applications for items specified in paragraph (a)(4) will be reviewed consistent with license review policies in § 744.23(d) of the EAR, except applications will be reviewed on a case-by-case basis if no license would be required under part 744 of the EAR.

    Paragraph (c), regarding the applicability of contract sanctity, has been revised to note that contract sanctity will be available as a factor for consideration for license applications involving the new SME items identified in paragraph (a)(4) of this section.

    The previously reserved paragraph (d) is now a paragraph for license exceptions guidance. This paragraph is added to provide references to specific license exceptions that are for national security-controlled items, as well as other useful license exceptions for national security items. It also cross-references the restrictions that apply to all license exceptions in § 740.2 of the EAR.

    9. Revision of § 742.6 Regional Stability

    Section 742.6(a)(6)(i) is revised to remove references to ECCN 3B090 and associated software and technology to conform to the removal of that ECCN from the CCL. See section C.3 of this rule for the description of the removal of 3B090 and addition of items to 3B001, 3B002, and associated software and technology ECCNs. This SME IFR separates from paragraph (a)(6)(i) sentences about exports from abroad from China or Macau and adds them to a new paragraph (a)(6)(ii). In addition, the deemed export/reexport paragraph in former paragraph (a)(6)(ii) is now redesignated as paragraph (a)(6)(iii).

    BIS specifically seeks public comment on the applicability of deemed exports and deemed reexports in paragraph (a)(6)(iii). Commenters are asked to provide feedback regarding the impact of this provision on their business and operations, in particular, what if any impact companies would experience if the deemed export and deemed reexport provision was removed and a license were to be required. Commenters are also asked to provide guidance on what if any practices are utilized to safeguard technology and intellectual property and the role of foreign person employees in obtaining and maintaining U.S. technology leadership.

    Lastly, this rule revises the license review policy under paragraph (b)(10) to Start Printed Page 73443 harmonize the destination scope to Macau and destinations specified in Country Group D:5 and state that the license review will be consistent with § 744.23(d) of the EAR, except applications will be reviewed on a case-by-case basis if no license would be required under part 744 of the EAR.

    10. Revision of § 744.6 Activities of “U.S. Persons”

    Paragraph (c) is restructured by consolidating the nine former paragraphs (c)(2)(i) through (ix), which included redundant text, into three paragraphs (c)(2)(i) through (iii). Paragraph (c)(2) now captures the types of prohibited activities, i.e., shipping, transmitting, or transferring (in-country), applicable to the destinations and end uses described in three paragraphs (c)(2)(i) through (iii). A commenter asked whether knowledge of a violation is a requirement to trigger the license requirements under § 744.6, and in response to this comment, BIS is clarifying this by adding “if you know your export, reexport, or transfer (in-country) meets any of the specified activities described in paragraphs (c)(2)(i) through (iii) of this section, then” to the paragraph (c)(2) introductory text to make this point.

    Other paragraph specific changes are described below.

    a. Revisions related to former paragraphs (c)(2)(i) through (iii) regarding semiconductor “development” and “production” activities and related exclusions in paragraph (d).

    Section 744.6(c)(2)(i) and (ii) (former paragraphs (c)(2)(i) through (vi)) are revised to clarify the types of end uses captured by the controls, as well as the types of “facilities” where a prohibited end use must occur. First, the phrase “that fabricates” is replaced with “where “production” . . . occurs.” Second, the phrase “semiconductor fabrication” is removed and therefore no longer qualifies the term “facility.” BIS opted to leverage the existing defined term “production” rather than create a new defined term for “fabrication.” These changes are intended to retain BIS's focus on specific “facilities” ( i.e., buildings) at locations that may maintain multiple production lines at different production technology nodes, not all of which may “produce” “advanced-node integrated circuits.” However, the changes also allow more flexibility in identifying relevant facilities where “production” may occur beyond a fabrication facility, which some in industry interpreted narrowly to encompass only a clean room or production floor. In contrast to the term “fabrication,” the term “production” better captures facilities where important late-stage product engineering or early-stage manufacturing steps (among others) may occur, which aligns with BIS's intended focus. In addition, because the controls still capture “development” activities that may occur at the same “facility” where “production” of “advanced-integrated circuits” occur, this change also better captures “development” and product engineering activities at research and development (R&D) fabrication “facilities” that may not engage in volume manufacturing of integrated circuits. On the other hand, BIS also clarifies that a “facility” where only “development” activities occur would not fall within the scope of controls, primarily because this could over-capture “facilities” engaged exclusively in design or other forms of “development” of consumer items ( e.g., smartphone ICs) that will be “produced” outside of China or at approved “facilities” in China and therefore do not necessarily warrant control. BIS welcomes comments on the implications of these changes relative to the objectives and considerations stated throughout this IFR.

    To enhance readability and simplify the structure of the controls under paragraphs (c)(2)(i) and (ii) (former paragraphs (c)(2)(i) through (vi)), BIS has moved and clarified the criteria for three types of “advanced-node integrated circuits” to a new definition in § 772.1 of the EAR and has added a heading to each paragraph. The term servicing in § 744.6(c) is revised to add the term installation, so it is clear that the prohibition under these two paragraphs on servicing also extends to installing any item not subject to the EAR that you know will be used in the “development” or “production” of “advanced-node ICs” or specified SME.

    b. Revisions to former paragraphs (c)(2)(vii) through (ix) related to certain SME not subject to the EAR.

    Section 744.6(c)(2)(iii) is revised to remove references to ECCN 3B090 and associated software and technology to conform with the removal of that ECCN from the CCL. See above for the description of the removal of ECCN 3B090 and addition of items to ECCNs 3B001, 3B002, and associated software and technology ECCNs, found in sections C.3, C.1, and C.2, respectively. The country scope is changed from “PRC and Macau” to “either Macau or a destination specified in Country Group D:5,” which is explained in section C. Specifically, paragraph (c)(2)(iii) of § 744.6 is revised to add references to ECCN 3B001.a.4, c, d, f.1.b, k to p; 3B002.b and c; 3D001 (for 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c); 3D002 (for 3B001 a.4, c, d, f.1.b, k to p, 3B002.b and c); or 3E001 (for 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c).

    c. Revisions related to paragraph (d) license exceptions and exclusions.

    Section 744.6(d) is amended by revising the heading from “exceptions” to “exceptions and exclusions,” as well as adding headings to the paragraphs in (d) for easier readability and navigation. This rule also moves the text of paragraph (d)(1) to the introductory paragraph, where it continues to state that paragraphs (b)(1) through (4) are not eligible for license exceptions. The paragraph is also amended to indicate that no license exceptions are available for § 744.6(c)(2). The license exception that was formerly in paragraph (d)(2) has been converted into an exclusion in paragraph (d)(2). Paragraph (d)(1) is now reserved. In addition, this rule differentiates between exclusions from the license requirements of this section and license exceptions found in part 740 of the EAR.

    Also consistent with revisions to related sections of § 744.23, BIS has added an exclusion under paragraph (d)(3) to limit the scope of “production” steps captured by paragraphs (c)(2)(i) and (ii). In line with BIS's response in its Jan. 25, 2023 FAQ II.A1, this exclusion excludes “back-end” production steps, such as assembly, test, or packaging steps that do not alter the technology level of an integrated circuit.

    Additionally, this rule adds an exclusion that applies to paragraphs (c)(2)(i) through (iii) of this section in paragraph (d)(4) for natural “U.S. persons” employed or working on behalf of a company headquartered in the United States or a destination specified in Country Group A:5 or A:6 and not majority-owned by an entity that is headquartered in Macau or a destination specified in Country Group D:5. This exclusion is intended to ease the compliance burden and corresponding disincentive to employ U.S. persons in activities for which governments of closely allied destinations maintain or may establish appropriate controls. This rule also adds a new Note to paragraph (d)(4) to provide additional context on when activities of “U.S. persons” are excluded, including providing guidance on how these criteria apply to “U.S. persons” working as freelancers for companies headquartered in the United States or in a destination specified in Country Group A:5 or A:6, on behalf of a company not headquartered in the United States or in a destination Start Printed Page 73444 specified in Country Group A:5 or A:6, or some combination of these scenarios.

    Finally, this rule adds an exclusion that applies to paragraph (c)(2)(iii) of this section in paragraph (d)(5) for servicing (including installation) activities unless such activities occur at a facility where “production” of “advanced-node integrated circuits” occurs. This will exclude servicing (including installation) of items specified in the ECCNs listed by paragraph (c)(2)(iii), when in a facility that does not produce “advanced-node integrated circuits” to avoid restricting servicing (including installation) at legacy-node facilities. This type of provision is included to ensure the controls remain focused on transactions and activities of national security concern.

    d. Revisions related to paragraph (e) license review standards.

    Section 744.6(e) is amended by revising paragraph (e)(3) to focus on countries of concern and provide an additional exclusion for the presumption of denial policy. BIS will review applications with a presumption of denial when they include destinations in Macau and destinations in Country Group D:5, except when there is a foreign-made item available that is not subject to the EAR and has the same function as an item subject to the EAR, which will be reviewed with a presumption of approval. All other applications will be considered on a case-by-case basis taking into account factors including technology level, customers, and compliance plans.

    11. Revisions of § 744.23 “Supercomputer,” “Advanced-Node Integrated Circuits,” and Semiconductor Manufacturing Equipment End Use Controls

    a. General Revisions and Context for These Changes.

    BIS received comments from the public to simplify the format of § 744.23 by combining the product scope paragraphs with the end-use scope paragraphs. BIS agrees and has done this. Here is a table to help the public find the new locations of paragraphs within § 744.23.

    § 744.23 “Supercomputer,” “Advanced-Node Integrated Circuit,” and Semiconductor Manufacturing Equipment End-Use Controls

    TopicPrior to this ruleIn this rule
    “Supercomputer”(a)(1)(i) and (ii) (a)(2)(i) (a)(2)(ii)(a)(1)(i). (a)(1)(ii)(A). (a)(1)(ii)(B).
    “Advanced-node ICs”(a)(1)(iii) and (a)(2)(iii) (a)(1)(iv) and (a)(2)(iv)(a)(2)(i). (a)(2)(ii).
    ReservedN/A(a)(3).
    Semiconductor Manufacturing Equipment (SME)(a)(1)(v) and (a)(2)(v)(a)(4).
    ExclusionsNone(a)(5).
    Is informed by BIS(b)(b).
    License Exceptions(c)(c).
    License review standards(d)(d).

    The introductory text of paragraph (a) in § 744.23 is revised to reference the new exclusions in paragraph (a)(5) that apply to the license requirements of this section. Paragraphs (a)(1) and (2) are combined under three topical paragraphs: (a)(1) “supercomputers,” (a)(2) “advanced-node ICs,” and (a)(4) SME. This rule adds a new paragraph (a)(5) for an exclusion to the license requirements. Paragraphs (b) and (d) have not been amended. The country scope is changed from “China and Macau” to “Macau or a destination specified in Country Group D:5” throughout this section for reasons explained in section C of the preamble of this rule.

    Paragraph (a)(2)(ii) (former paragraph (a)(1)(iv)) is also revised to replace the words “and classified” with “specified,” so that the public does not incorrectly conclude that one must formally submit a classification request to have the item classified by BIS to make a license requirement determination under this provision.

    b. Revisions related to paragraphs (a)(2)(i) and (ii) (former paragraphs (a)(2)(iii) and (iv)) regarding the “development” and “production” of ICs.

    Consistent with revisions described above to § 744.6, the phrase “that fabricates” is replaced with “where “production” . . . occurs,” and the phrase “semiconductor fabrication” is removed and therefore no longer qualifies the term “facility.” BIS opted to leverage the existing defined term “production” rather than create a new defined term for “fabrication.” These changes are intended to retain BIS's focus on specific “facilities” ( i.e., buildings) at locations that may maintain multiple production lines at different production technology nodes, not all of which may “produce” “advanced-node integrated circuits.” However, the changes also allow more flexibility in identifying relevant facilities where “production” may occur beyond a fabrication facility. For example, the term “production” better captures facilities where important late-stage product engineering or early-stage manufacturing steps (among others) may occur. In addition, because the controls still capture “development” activities that may occur at the same “facility” where “advanced-integrated circuits” are “produced,” this change also better captures “development” and product engineering activities at R&D fabrication “facilities” that may not engage in volume manufacturing of integrated circuits. On the other hand, BIS also clarifies that a “facility” where only “development” activities occur would not fall within the scope of controls, primarily because this could over-capture “facilities” engaged exclusively in “design” or other forms of “development” of consumer items ( e.g., smartphone ICs). BIS welcomes comments on the implications of these changes relative to the objectives and considerations stated throughout this IFR.

    In addition, BIS has added an exclusion under paragraph (a)(5) to limit the scope of “production” steps captured by paragraphs (a)(2) (former paragraphs (a)(2)(iii) and (iv)). As relayed in BIS's Jan. 25, 2023, FAQ II.A.1, for purposes of § 744.23(a)(2), the term “production” does not apply to back-end steps, such as assembly, test, or packaging that do not alter the semiconductor technology level. If there is a question at the time of export, reexport, or transfer (in-country) about Start Printed Page 73445 whether a manufacturing stage is “back-end” or whether a back-end activity “alter[s] the semiconductor technology level,” you may submit an advisory opinion request to BIS pursuant to § 748.3(c) for clarification.

    Further, to enhance readability and simplify the structure of the controls under paragraphs (a)(2) (former paragraphs (a)(2)(iii) and (iv)), BIS has moved and clarified the criteria for three types of “advanced-node integrated circuits” to a new definition in § 772.1 of the EAR.

    Paragraphs (a)(1) through (4) are revised to add paragraph headings to make it easier for exporters, reexporters, and transferors to identify the scope of each of these paragraphs.

    c. Revisions related to paragraph (a)(4)(former paragraph (a)(2)(v)) regarding the “development” or “production” of SME.

    As noted above in response to public comments, BIS has narrowed the product scope of § 744.23(a)(4) to items subject to the EAR and specified on the CCL in supplement no. 1 to part 774 of the EAR, and it has narrowed the end-use scope of § 744.23(a)(4) to `front-end integrated circuit “production” equipment' and other items specified in 3B ECCNs. The term `front-end integrated circuit “production” equipment' does not include equipment used exclusively in back-end steps or other applications ( e.g., outside of integrated circuit “production”) that do not alter the integrated circuit technology level. BIS welcomes comments on this revision, including identification of any specific items that warrant exclusion from the product scope or end use scope, e.g., because they are exclusively used in the production of integrated circuits at legacy production technology nodes.

    In addition, BIS has revised the scope of paragraph (a)(4) to exclude masks and other items specified in ECCNs 3B001.g, 3B001.h, 3B001.j, and 3B991.b.2. This exclusion will allow the export, reexport, and transfer (in-country) of items subject to the EAR destined for use in the “development” or “production” in either Macau and destinations specified in Country Group D:5 of masks and reticles in the specified ECCNs for fabricating ICs that are not “advanced-node integrated circuits.” Any item subject to the EAR, including one specified in these ECCNs, that is destined for use in the “development” or “production” in either Macau or destinations specified in Country Group D:5 of “advanced-node integrated circuits,” must still be assessed against the license requirements in § 744.23(a)(2).

    ECCN 3B090 is also removed from the list of ECCNs in paragraph (a)(4), because the equipment controlled in that ECCN has been moved to ECCN 3B001, which is already listed in this paragraph.

    d. Exclusion

    BIS added an exclusion to § 744.23(a)(5) to limit the scope of “production” steps captured by paragraphs (a)(2)(i) and (ii). In line with BIS's response in its Jan. 25, 2023 FAQ II.A1, this exclusion excludes back-end production steps, such as assembly, test, or packaging steps that do not alter the technology level of an integrated circuit.

    e. License exception

    As noted above, BIS has narrowed the product scope of § 744.23(a)(4) (former § 744.23(a)(2)(v)) to items subject to the EAR and specified on the CCL. BIS considered adding license exception availability for License Exceptions TSU, RPL, and TMP for updates and repairs for SME equipment. However, we came to the conclusion that there isn't a need for License Exceptions TSU for paragraphs (a) (Operation technology and software) and (c) (Software updates) to allow for updates of items that were legally exported, reexported, or transferred (in-country) or License Exception TMP or RPL for repairs, because paragraph (a)(4) only captures “development” and “production” of SME. However, we welcome comments providing differing conclusions on this topic.

    f. License review standards

    There is a presumption of denial for Macau and destinations in Country Group D:5 of supplement no. 1 to part 740, with two exceptions. BIS is expanding the exception that could only be applied to one paragraph (a)(2)(i) (former paragraph (a)(2)(iii)) for “end users in China or Macau that are headquartered in the United States or in a Country Group A:5 or A:6 country” by allowing the exception to be applied to all paragraphs for end users in either Macau or a destination in Country Group D:5 that are headquartered in the United States or in a Country Group A:5 or A:6 country that are not majority-owned by an entity headquartered in either Macau or a destination specified in Country Group D:5. In addition, BIS is adding another exception that may be applied to all the paragraphs when there is a foreign-made item available that is not subject to the EAR and has the same function as the item subject to the EAR. Applications that meet either of these exceptions will be reviewed with a presumption of approval.

    12. Addition to § 772.1 Definitions of Terms as Used in the EAR

    Section 772.1 is revised to add a definition for the term “extreme ultraviolet” (“EUV”). To specify that this term means electromagnetic spectrum wavelengths greater than 5 nm and less than 124 nm. This rule adds this new defined term to § 772.1 because the term is used in ECCNs 3B001, 3B002 and 3D003.

    Section 772.1 is also revised to add a definition for the term “advanced-node integrated circuit.” BIS added this definition to simplify the regulatory text in several places in §§ 744.6 and 744.23 that previously described the criteria for “advanced” ICs. As noted above under section C.11, this definition also now includes notes clarifying the meaning of “production technology node” for two types of “advanced-node integrated circuits.”

    Export Control Reform Act of 2018

    On August 13, 2018, the President signed into law the John S. McCain National Defense Authorization Act for Fiscal Year 2019, which included the ECRA, 50 U.S.C. 4801–4852. ECRA, as amended, provides the legal basis for BIS's principal authorities and serves as the authority under which BIS issues this rule.

    Rulemaking Requirements

    1. Executive Orders 12866, 13563, and 14094 direct agencies to assess all costs and benefits of available regulatory alternatives and, if regulation is necessary, to select regulatory approaches that maximize net benefits (including potential economic, environmental, public health and safety effects and distributive impacts and equity). Executive Order 13563 emphasizes the importance of quantifying both costs and benefits and of reducing costs, harmonizing rules, and promoting flexibility.

    This interim final rule has been designated a “significant regulatory action” under Executive Order 12866. This rule does not contain policies with federalism implications as that term is defined under Executive Order 13132.

    2. Notwithstanding any other provision of law, no person is required to respond to, nor shall any person be subject to a penalty for failure to comply with, a collection of information subject to the requirements of the Paperwork Reduction Act of 1995 (44 U.S.C. 3501 et seq.) (PRA), unless that collection of information displays a currently valid Office of Management and Budget (OMB) Control Number. Although this rule makes important changes to the Start Printed Page 73446 EAR for items controlled for national security reasons, BIS believes that the added exclusions and narrowing of scope on key paragraphs outweigh the expansion in country scope, so that the overall burden will decrease. Therefore, the burdens and costs associated with the following information collections due to this rule are within the approved burden estimates for the following:

    • 0694–0088, “Simplified Network Application Processing System,” which carries a burden- hour estimate of 29.6 minutes for a manual or electronic submission. The burden associated with Supplement no. 1 to part 736, General order 4, paragraph (d)(5) Temporary General License burden for recordkeeping is accounted for under 0694–0088 and is minimal due to the limited scope of those required to keep records (11 companies). The recordkeeping does not go beyond that which the exporter is already under obligation to keep pursuant to part 762 recordkeeping provisions of the EAR. There is a sunset clause on this requirement effective August 1, 2024, when this provision will be removed from the EAR.
    • 0694–0137 “License Exceptions and Exclusions,” which carries a burden-hour estimate average of 1.5 hours per submission (Note: submissions for License Exceptions are rarely required);
    • 0694–0096 “Five Year Records Retention Period,” which carries a burden-hour estimate of less than 1 minute; and
    • 0607–0152 “Automated Export System (AES) Program,” which carries a burden-hour estimate of 3 minutes per electronic submission.

    Additional information regarding these collections of information—including all background materials—can be found at https://www.reginfo.gov/​public/​do/​PRAMain and using the search function to enter either the title of the collection or the OMB Control Number.

    3. Pursuant to section 1762 of ECRA (50 U.S.C. 4821), this action is exempt from the Administrative Procedure Act (APA) (5 U.S.C. 553) requirements for notice of proposed rulemaking, opportunity for public participation and delay in effective date. Although this rule is exempt from public comments, BIS is seeking them anyway on a number of issues.

    4. Because a notice of proposed rulemaking and an opportunity for public comment are not required to be given for this rule by 5 U.S.C. 553, or by any other law, the analytical requirements of the Regulatory Flexibility Act, 5 U.S.C. 601, et seq., are not applicable. Accordingly, no regulatory flexibility analysis is required, and none has been prepared.

    Start List of Subjects

    List of Subjects

    15 CFR Part 734

    • Administrative practice and procedure
    • Exports
    • Inventions and patents
    • Research
    • Science and technology

    15 CFR Part 736

    • Exports

    15 CFR Part 740

    • Administrative practice and procedure
    • Exports
    • Reporting and recordkeeping requirements

    15 CFR Part 742

    • Exports
    • Terrorism

    15 CFR Part 744

    • Exports
    • Reporting and recordkeeping requirements
    • Terrorism

    15 CFR Part 772

    • Exports

    15 CFR Part 774

    • Exports
    • Reporting and recordkeeping requirements
    End List of Subjects

    Accordingly, parts 734, 736, 740, 742, 744, 772, and 774 of the Export Administration Regulations (15 CFR parts 730–774) are amended as follows:

    Start Part

    PART 734—SCOPE OF THE EXPORT ADMINISTRATION REGULATIONS

    End Part Start Amendment Part

    1. The authority citation for part 734 continues to read as follows:

    End Amendment Part Start Authority

    Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; E.O. 13637, 78 FR 16129, 3 CFR, 2014 Comp., p. 223; Notice of November 8, 2022, 87 FR 68015 (November 10, 2022).

    End Authority Start Amendment Part

    2. Section 734.4 is amended by adding paragraph (a)(3) to read as follows:

    End Amendment Part
    De minimis U.S. content.

    (a) * * *

    (3) There is no de minimis level for equipment meeting the parameters in ECCN 3B001.f.1.b.2.b of the Commerce Control List in supplement no. 1 to part 774 of the EAR, when the equipment is destined for use in the “development” or “production” of “advanced-node integrated circuits” and the “advanced-node integrated circuits” meet the parameter specified in paragraph (1) of that definition in § 772.1 of the EAR, unless the country from which the foreign-made item was first exported 1 has a commodity specified on an export control list.

    * * * * *

    ----------------

    1  The Government of Japan added ArF-wet lithography equipment and other advanced semiconductor manufacturing equipment to its control list for all regions on July 23, 2023.

    Start Part

    PART 736—GENERAL PROHIBITIONS

    End Part Start Amendment Part

    3. The authority citation for part 736 is revised to read as follows:

    End Amendment Part Start Authority

    Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13020, 61 FR 54079, 3 CFR, 1996 Comp., p. 219; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; E.O. 13338, 69 FR 26751, 3 CFR, 2004 Comp., p. 168; Notice of November 8, 2022, 87 FR 68015, 3 CFR, 2022 Comp., p. 563; Notice of May 8, 2023, 88 FR 30211 (May 10, 2023).

    End Authority Start Amendment Part

    4. Supplement no. 1 to part 736 is amended by revising paragraph (d) to read as follows:

    End Amendment Part

    Supplement No. 1 to Part 736—General Orders

    * * * * *

    (d) General Order No. 4: Exports, reexports, or transfers (in-country) authorized under the Temporary General License (TGL) specified under paragraph (d)(1) of this supplement must also comply with the terms and conditions under paragraphs (d)(4) through (5) of this supplement.

    (1) TGL—Less restricted SME “parts,” “components,” or “equipment.” This TGL only overcomes the license requirements described in § 744.23(a)(4) of EAR when:

    (i) Product scope. The items subject to the EAR that are specified on the Commerce Control List (CCL) in supplement no. 1 to part 774 of the EAR that are designated as controlled on the CCL only for AT reasons; and

    (ii) End-use scope. The recipient is “developing” or “producing” “parts,” “components,” or “equipment” (as specified in § 744.23(a)(4) of the EAR) at the direction of a company that is headquartered in the United States or a destination specified in Country Group A:5 or A:6 and not majority-owned by an entity headquartered in either Macau or a destination specified in Country Group D:5.

    (2) [Reserved]

    (3) Validity date. The TGL under paragraph (d)(1) of this supplement expires on December 31, 2025.

    (4) End-use and end-user restrictions.

    (i) Restrictions related to part 744 of the EAR. The TGL under paragraph (d)(1) of this supplement does not overcome the license requirements of § 744.11 or § 744.21 of the EAR when an entity listed in supplements no. 4 or 7 to part 744 is a party to the transaction as described in § 748.5(c) through (f) of the EAR, or when there is knowledge Start Printed Page 73447 of any other prohibited end use or end user (other than the § 744.23 of the EAR provisions specified above in the TGL).

    (ii) Indigenous production. The TGL under paragraph (d)(1) of this supplement cannot be used for the indigenous “development” or “production” of Category 3B tools in either Macau or a destination specified in Country Group D:5, i.e., where the “part,” “component,” or “equipment” is “developed” or “produced” at the direction of an entity that is headquartered in either Macau or a destination specified in Country Group D:5.

    (5) Recordkeeping requirement. All exports, reexports, transfer (in-country), and exports from abroad shipped under the authorization of this TGL are subject to the recordkeeping requirements of part 762 of the EAR. The records subject to this recordkeeping requirement include but are not limited to directives to the parties that are eligible to use this TGL and a list of the parties that have received directives. Each party that issues or acts upon a directive is responsible for keeping a record of that directive.

    * * * * *

    Supplement No. 1 to Part 736 [Amended]

    Start Amendment Part

    5. Effective on January 1, 2026, supplement no. 1 to part 736 is further amended by removing and reserving paragraph (d).

    End Amendment Part Start Part

    PART 740—LICENSE EXCEPTIONS

    End Part Start Amendment Part

    6. The authority citation for part 740 continues to read as follows:

    End Amendment Part Start Authority

    Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;22 U.S.C. 7201 et seq.;E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    End Authority Start Amendment Part

    7. Section 740.2 is amended by revising paragraph (a)(9) to read as follows:

    End Amendment Part
    Restrictions on all License Exceptions.

    (a)* * *

    (9)(i) The item is controlled under ECCN 3B001.a.4, c, d, f.1.b, k to p, 3B002.b or c, or associated software and technology in ECCN 3D001, 3D002, 3D003, or 3E001 and is being exported, reexported, or transferred (in-country) to or within either Macau or a destination specified in Country Group D:5 of supplement no. 1 to this part, and the license exception is other than License Exception GOV, restricted to eligibility under the provisions of § 740.11(b).

    (ii) The item is identified in paragraph (a)(9)(ii)(A) or (B) of this section, is being exported, reexported, or transferred (in-country) to or within Macau or a destination specified in Country GroupD:5, and the license exception is other than: TMP, restricted to eligibility under the provisions of § 740.9(a)(6); RPL, under the provisions of § 740.10, including § 740.10(a)(3)(v), which prohibits exports and reexports of replacement parts to a destination specified in Country Group E:1 (see supplement no. 1 to this part); GOV, restricted to eligibility under the provisions of § 740.11(b); or TSU under the provisions of § 740.13(a) and (c). Items restricted to eligibility only for the foregoing license exceptions are:

    (A) Controlled under ECCNs 3A090, 4A090, or associated software and technology in 3D001, 3E001, 4D090, and 4E001;

    (B) A computer, integrated circuit, “electronic assembly” or “component” specified elsewhere on the CCL which meets or exceeds the performance parameters of ECCN 3A090 or 4A090.

    * * * * *
    Start Part

    PART 742—CONTROL POLICY—CCL BASED CONTROLS

    End Part Start Amendment Part

    8. The authority citation for part 742 continues to read as follows:

    End Amendment Part Start Authority

    Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;22 U.S.C. 3201 et seq.;42 U.S.C. 2139a; 22 U.S.C. 7201 et seq.;22 U.S.C. 7210; Sec. 1503, Pub. L. 108–11, 117 Stat. 559; E.O. 12058, 43 FR 20947, 3 CFR, 1978 Comp., p. 179; E.O. 12851, 58 FR 33181, 3 CFR, 1993 Comp., p. 608; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; Presidential Determination 2003–23, 68 FR 26459, 3 CFR, 2004 Comp., p. 320; Notice of November 8, 2022, 87 FR 68015 (November 10, 2022).

    End Authority Start Amendment Part

    9. Section 742.4 is amended by:

    End Amendment Part Start Amendment Part

    a. Revising paragraph (a);

    End Amendment Part Start Amendment Part

    b. Adding introductory text to paragraph (b);

    End Amendment Part Start Amendment Part

    c. Revising paragraphs (b)(1) and (2);

    End Amendment Part Start Amendment Part

    d. Removing and reserving paragraph (b)(3);

    End Amendment Part Start Amendment Part

    e. Revising paragraph (c); and

    End Amendment Part Start Amendment Part

    f. Adding paragraph (d).

    End Amendment Part

    The revisions and additions read as follows:

    National security.

    (a) License requirements. It is the policy of the United States to restrict the export and reexport of items that would make a significant contribution to the military potential of any other destination or combination of destinations that would prove detrimental to the national security (NS) of the United States. Generally, items on the Commerce Control List in supplement no. 1 to part 774 of the EAR that have a reason for control of NS are those that are also listed on the Wassenaar Arrangement's “List of Dual-use Goods and Technologies,” as well as some items listed on the Wassenaar Arrangement's “Munitions List.” “600 series” items and 9x515 items are also controlled for NS reasons.

    (1) National Security column 1 (NS:1). A license is required for exports and reexports to all destinations, except Canada, for all items in ECCNs on the CCL that include NS Column 1 in the Country Chart column of the “License Requirements” section.

    (2) National Security column 2 (NS:2). A license is required to all destinations except those specified in Country Group A:1 (see supplement no. 1 to part 740 of the EAR), for all items in ECCNs on the CCL that include NS column 2 in the Commerce Country Chart column of the “License Requirements” section except those cameras in ECCN 6A003.b.4.b that have a focal plane array with 111,000 or fewer elements and a frame rate of 60 Hz or less.

    (3) 6A003.b.4.b. A license is required to all destinations except those specified in Country Group A:1 (see supplement no. 1 to part 740 of the EAR) for those cameras in ECCN 6A003.b.4.b that have a focal plane array with 111,000 or fewer elements and a frame rate of 60 Hz or less and for cameras being exported or reexported pursuant to an authorization described in § 742.6(a)(2)(iii) or (v). The purpose of this control is to ensure that these items do not contribute to the military potential of destinations specified in Country Group D:1 (see supplement no. 1 to part 740 of the EAR) that would prove detrimental to the national security of the United States.

    (4) Certain semiconductor manufacturing equipment and associated software and technology. A license is required for exports, reexports, and transfers (in-country) to or within either Macau or a destination specified in Country Group D:5 in supplement no. 1 to part 740 of the EAR of items specified in 3B001.a.4, c, d, f.1.b, k to p; 3B002.b and c; 3D001 (for 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c); 3D002 (for 3B001 a.4, c, d, f.1.b, k to p, 3B002.b and c); or 3E001 (for 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c). The license requirements in this paragraph (a)(4) do not apply to deemed exports or deemed reexports.

    (b) Licensing policy. Each application is reviewed in light of prevailing policies with full consideration of all aspects of the proposed transaction. When the license application meets the criteria of more than one licensing policy, then the most restrictive licensing policy will be applied. The review generally includes: an analysis of the kinds and quantities of items to be Start Printed Page 73448 shipped; their military or civilian uses; the unrestricted availability abroad of the same or comparable items; the country of destination; the ultimate end users in the country of destination; and the intended end use.

    (1)(i) Country Group D:1. The policy for national security-controlled items exported or reexported to any destination except a destination specified in Country Group D:1 (see supplement no. 1 to part 740 of the EAR) is to approve applications unless there is a significant risk that the items will be diverted to a destination specified in Country Group D:1. Except for those countries described in paragraphs (b)(5) through (7) and (9) of this section, the general policy for exports and reexports of items to Country Group D:1 (see supplement no. 1 to part 740 of the EAR) is to approve applications when BIS determines, on a case-by-case basis, that the items are for civilian use or would otherwise not make a significant contribution to the military potential of the country of destination that would prove detrimental to the national security of the United States.

    (ii) 9x515 and “600 series” items. When destined to a country listed in Country Group D:5 in supplement no. 1 to part 740 of the EAR, however, items classified under 9x515 or “600 series” ECCNs will be reviewed consistent with United States arms embargo policies in 22 CFR 126.1 (International Traffic in Arms Regulations (ITAR)). When destined to the People's Republic of China or a country listed in Country Group E:1 in supplement no. 1 to part 740 of the EAR, items classified under any 9x515 ECCN will be subject to a policy of denial.

    (2) License applications for items specified in paragraph (a)(4) of this section will be reviewed consistent with license review policies in § 744.23(d) of the EAR, except applications will be reviewed on a case-by-case basis if no license would be required under part 744 of the EAR.

    * * * * *

    (c) Contract sanctity. Contract sanctity provisions are not available for license applications reviewed under this section, except for applications for items in paragraph (a)(4) of this section. For paragraph (a)(4), contract sanctity provisions are available for contracts signed before October 18, 2023.

    (d) License exceptions. Certain license exceptions are available only for national security items, such as License Exceptions GBS (see § 740.4 of the EAR) and TSR (see § 740.6 of the EAR), but other license exceptions may also be available for national security items, such as License Exception STA (see § 740.20 of the EAR) or license exceptions based on the facts of the transaction, such as License Exceptions TMP (see § 740.9 of the EAR) or GOV (see § 740.11 of the EAR). See part 740 of the EAR for a full list of license exceptions and § 740.2 of the EAR for license exception restrictions that apply to every license exception.

    Start Amendment Part

    10. Section 742.6 is amended by revising paragraphs (a)(6) and (b)(10) to read as follows:

    End Amendment Part
    Regional stability.

    (a) * * *

    (6) RS requirement that applies to advanced computing and semiconductor manufacturing items —(i) Exports, reexports, transfers (in-country) to or within either Macau or Country Group D:5. A license is required for items specified in ECCNs 3A090, 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c, 4A090, 5A992 (that meet or exceed the performance parameters of ECCNs 3A090 or 4A090); and associated software and technology in 3D001 (for 3A090, 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c), 3D002 (for 3B001a.4, c, d, f.1.b, k to p, 3B002.b and c), 3E001 (for 3A090, 3B001a.4, c, d, f.1.b, k to p, 3B002.b and c), 4D090, and 4E001 (for 4A090 and 4D090), and 5D992 (that meet or exceed the performance parameters of ECCNs 3A090 or 4A090) being exported, reexported, or transferred (in-country) to or within either Macau or a destination specified in Country Group D:5 in supplement no. 1 to part 740 of the EAR.

    (ii) Exports from abroad originating in either China or Macau. A license is also required for the export from abroad originating in either China or Macau to any destination worldwide of 3E001 (for 3A090) technology developed by an entity headquartered in either China or Macau that is the direct product of software subject to the EAR and is for the “production” of commodities identified in ECCNs 3A090, 4A090, or identified elsewhere on the CCL that meet or exceed the performance parameters of ECCNs 3A090 or 4A090, consistent with § 734.9(h)(1)(i)(B)( 1) and (h)(2)(ii) of the EAR.

    (iii) Deemed exports and reexports. The license requirements in paragraphs (a)(6)(i) and (ii) of this section do not apply to deemed exports or deemed reexports.

    * * * * *

    (b) * * *

    (10) Advanced computing and semiconductor manufacturing items. License applications for items specified in paragraph (a)(6) of this section will be reviewed consistent with license review policies in § 744.23(d) of the EAR, except applications will be reviewed on a case-by-case basis if no license would be required under part 744 of the EAR.

    * * * * *
    Start Part

    PART 744—CONTROL POLICY: END–USER AND END-USE BASED

    End Part Start Amendment Part

    11. The authority citation for part 744 continues to read as follows:

    End Amendment Part Start Authority

    Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;22 U.S.C. 3201 et seq.;42 U.S.C. 2139a; 22 U.S.C. 7201 et seq.;22 U.S.C. 7210; E.O. 12058, 43 FR 20947, 3 CFR, 1978 Comp., p. 179; E.O. 12851, 58 FR 33181, 3 CFR, 1993 Comp., p. 608; E.O. 12938, 59 FR 59099, 3 CFR, 1994 Comp., p. 950; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13099, 63 FR 45167, 3 CFR, 1998 Comp., p. 208; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783; E.O. 13224, 66 FR 49079, 3 CFR, 2001 Comp., p. 786; Notice of November 8, 2022, 87 FR 68015, 3 CFR, 2022 Comp., p. 563; Notice of September 7, 2023, 88 FR 62439 (September 11, 2023).

    End Authority Start Amendment Part

    12. Section 744.6 is amended by revising paragraphs (c)(2), (d), and (e)(3) to read as follows:

    End Amendment Part
    Restrictions on specific activities of “U.S. persons.”
    * * * * *

    (c) * * *

    (2) Consistent with paragraph (c)(1) of this section, BIS is hereby informing “U.S. persons” that a license is required for the following activities, which could involve `support' for the weapons of mass destruction-related end uses set forth in paragraph (b) of this section. Specifically, if you know your export, reexport, or transfer (in-country) meets any of the specified activities described in paragraphs (c)(2)(i) through (iii) of this section, then a license is required for shipping, transmitting, or transferring (in-country); facilitating the shipment, transmission, or transfer (in-country); or servicing (including installation) activities associated with any item, end use, or end user described in any of the following paragraphs:

    (i) “Development” or “production” of “advanced-node ICs.” To or within China or Macau, any item not subject to the EAR that you know will be used in the “development” or “production” of integrated circuits at a “facility” of an entity headquartered in either China or Macau, where “production” of “advanced-node integrated circuits” occurs;

    (ii) Category 3 items for “development” or “production” of “advanced-node ICs.” To or within China or Macau, any item not subject to Start Printed Page 73449 the EAR and meeting the parameters of any ECCN in Product Groups B, C, D, or E in Category 3 of the CCL that you know will be used in the “development” or “production” of integrated circuits at a “facility” of an entity headquartered in either China or Macau where “production” of integrated circuits occurs, but you do not know whether “production” of “advanced-node integrated circuits” occurs at such “facility”; or

    (iii) Semiconductor manufacturing equipment. To or within either Macau or a destination specified in Country Group D:5, any item not subject to the EAR and meeting the parameters of ECCNs 3B001.a.4, c, d, f.1.b, k to p; 3B002.b and c; 3D001 (for 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c); 3D002 (for 3B001 a.4, c, d, f.1.b, k to p, 3B002.b and c); or 3E001 (for 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c) regardless of end use or end user.

    (d) Exceptions and exclusions. No license exceptions apply to the prohibitions described in paragraphs (b)(1) through (4) or paragraph (c)(2) of this section.

    (1) [Reserved]

    (2) Exclusion to paragraphs (b)(5) and (c)(2)(iii) of this section. Notwithstanding the prohibitions in paragraphs (b)(5) and (c)(2)(iii), “U.S. persons” who are employees of a department or agency of the U.S. Government may `support' a `military-intelligence end use' or a `military-intelligence end user,' as described in paragraphs (b)(5) and (c)(2)(iii), if the `support' is provided in the performance of official duties in furtherance of a U.S. Government program that is authorized by law and subject to control by the President by other means. This paragraph (d)(2) does not authorize a department or agency of the U.S. Government to provide `support' that is otherwise prohibited by other administrative provisions or by statute. `Contractor support personnel' of a department or agency of the U.S. Government are eligible for this authorization when in the performance of their duties pursuant to the applicable contract or other official duties. `Contractor support personnel' for the purposes of this paragraph (d)(2) has the same meaning given to that term in § 740.11(b)(2)(ii) of the EAR. This authorization is not available when a department or agency of the U.S. Government acts as an agent on behalf of a non-U.S. Government person.

    (3) Exclusion to paragraphs (c)(2)(i) and (ii) of this section. The term “production” in paragraphs (c)(2)(i) and (ii) does not apply to back-end steps such as assembly, test, or packaging that do not alter the integrated circuit technology level. If there is a question at the time of export, reexport, or transfer (in-country) about whether a manufacturing stage is back-end or whether a manufacturing stage is back-end or a back-end activity alters the technology level, you may submit an advisory opinion request to BIS pursuant to § 748.3(c) of the EAR for clarification.

    (4) Exclusion to paragraphs (c)(2)(i) through (iii) of this section. (i) Paragraphs (c)(2)(i) through (iii) do not apply to a natural “U.S. person,” as defined in paragraphs (a)(1) and (3) of the definition in § 772.1 of the EAR, employed or working on behalf of a company headquartered in the United States or a destination specified in Country Group A:5 or A:6 and not majority-owned by an entity that is headquartered in either Macau or a destination specified in Country Group D:5.

    (ii) Any activities a natural “U.S. person,” as defined in paragraphs (a)(1) and (3) of that term's definition in § 772.1 of the EAR, undertakes when employed or acting on behalf of a company not headquartered in the United States or a destination specified in Country Group A:5 or A:6 must comply with the requirements in this paragraph (d)(4) as applicable. For example, if a natural “U.S. person” is a freelancer who works or acts on behalf of a company headquartered in the United States or a destination specified in Country Group A:5 or A:6, those activities would not be prohibited under paragraphs (c)(2)(i) through (iii) of this section. However, if that same natural “U.S. person” was also working or acting on behalf of a company headquartered somewhere other than the United States or a destination specified in Country Group A:5 or A:6, the activities performed on behalf of such a company would not be excluded under paragraphs (c)(2)(i) through (iii) and a license would be required.

    (5) Exclusion to paragraph (c)(2)(iii) of this section. Paragraph (c)(2)(iii) does not apply to servicing (including installation) activities unless at a “facility” where “production” of “advanced-node integrated circuits” occurs, which would require a license under paragraph (c)(2)(i) of this section.

    * * * * *

    (e) * * *

    (3) Applications for licenses submitted pursuant to the notice of a license requirement set forth in paragraph (c)(2) of this section will be reviewed with a presumption of denial for Macau and destinations in Country Group D:5, except activities involving a foreign-made item that is not subject to the EAR and performs the same function as an item subject to the EAR, which will be reviewed with a presumption of approval. All other applications will be reviewed with a license review policy of case-by-case and consider factors, such as technology level, customers, and compliance plans.

    Start Amendment Part

    12. Section 744.23 is revised to read as follows:

    End Amendment Part
    “Supercomputer,” “advanced-node integrated circuits,” and semiconductor manufacturing equipment end use controls.

    (a) General prohibition. In addition to the license requirements for items specified on the CCL, you may not export, reexport, or transfer (in-country) without a license any item subject to the EAR described in paragraphs (a)(1) through (4) of this section when you have “knowledge” at the time of export, reexport, or transfer (in-country) that the item is destined for a destination, end use, or type of end user described in paragraphs (a)(1) through (4) of this section, unless excluded by paragraph (a)(5) of this section.

    (1) “Supercomputers” —(i) Item scope. (A) An integrated circuit (IC) subject to the EAR and specified in ECCN 3A001, 3A991, 4A994, 5A002, 5A004, or 5A992; or

    (B) A computer, “electronic assembly,” or “component” subject to the EAR and specified in ECCN 4A003, 4A004, 4A994, 5A002, 5A004, or 5A992.

    (ii) Destination and end-use scope. (A) The “development,” “production,” “use,” operation, installation (including on-site installation), maintenance (checking), repair, overhaul, or refurbishing of a “supercomputer” located in or destined to China or Macau; or

    (B) The incorporation into, or the “development” or “production” of any “component” or “equipment” that will be used in a “supercomputer” located in or destined to China or Macau.

    (2) “Advanced-node ICs” —(i) Any item to “production” “facility” of “advanced-node ICs.” Any items subject to the EAR when you know the items will be used in the “development” or “production” of ICs at a “facility” located in China or Macau where “production” of “advanced-node ICs” occurs.

    (ii) Category 3 items to “facility” where the technology node is unknown. Any item subject to the EAR specified in an ECCN in Product Groups B, C, D, or E in Category 3 of the CCL when you know the item will be used in the Start Printed Page 73450 “development” or “production” of ICs at a “facility” located in China or Macau where “production” of integrated circuits occurs, but you do not know whether “production” of “advanced-node ICs” occurs at such “facility.”

    (3) [Reserved]

    (4) Semiconductor manufacturing equipment (SME). Any item subject to the EAR and specified on the CCL when destined to either Macau or a destination specified in Country Group D:5 for the “development” or “production” of `front-end integrated circuit “production” equipment' and “components,” “assemblies,” and “accessories” therefor specified in ECCN 3B001 (except 3B001.g, .h, and .j), 3B002, 3B611, 3B991 (except 3B991.b.2), or 3B992.

    Note 1 to paragraph (a)(4):

    Front-end integrated circuit “production” equipment includes equipment used in the production stages from a blank wafer or substrate to a completed wafer or substrate ( i.e., the integrated circuits are processed but they are still on the wafer or substrate). If there is a question at the time of export, reexport, or transfer (in-country) about whether equipment is used in front-end integrated circuit “production,” you may submit an advisory opinion request to BIS pursuant to § 748.3(c) of the EAR for clarification.

    (5) Back-end exclusion. For purposes of paragraph (a)(2) of this section, the term “production” does not apply to back-end steps such as assembly, test, or packaging that do not alter the integrated circuit technology level. If there is a question at the time of export, reexport, or transfer (in-country) about whether a manufacturing stage is back-end or whether a back-end activity alters the technology level, you may submit an Advisory Opinion request to BIS pursuant to § 748.3(c) of the EAR for clarification.

    (b) Additional prohibition on persons informed by BIS. BIS may inform persons, either individually by specific notice or through amendment to the EAR published in the Federal Register , that a license is required for a specific export, reexport, or transfer (in-country) of any item subject to the EAR to a certain end-user, because there is an unacceptable risk of use in, or diversion to, the end uses specified in paragraphs (a)(1) through (4) of this section. Specific notice is to be given only by, or at the direction of, the Deputy Assistant Secretary for Export Administration. When such notice is provided orally, it will be followed by a written notice within two working days signed by the Deputy Assistant Secretary for Export Administration or the Deputy Assistant Secretary's designee. However, the absence of any such notification does not excuse persons from compliance with the license requirements of paragraph (a) of this section.

    (c) License exceptions. No license exceptions may overcome the prohibition described in paragraph (a) of this section.

    (d) License review standards. Applications will be reviewed with a presumption of denial for Macau and destinations specified in Country Group D:5. However, there is a presumption of approval license review policy when there is a foreign-made item available that is not subject to the EAR and performs the same function as the item subject to the EAR, and for end users headquartered in the United States or a destination in Country Group A:5 or A:6, that are not majority-owned by an entity headquartered in either Macau or a destination specified in Country Group D:5. For all other applications, there is a case-by-case license review policy. License review will take into account factors including technology level, customers, and compliance plans. Contract sanctity will be a factor in the review of all applications.

    Start Part

    PART 772—DEFINITIONS OF TERMS

    End Part Start Amendment Part

    13. The authority citation for part 772 continues to read as follows:

    End Amendment Part Start Authority

    Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    End Authority Start Amendment Part

    14. Section 772.1 is amended by adding definitions for “Advanced-Node Integrated Circuits (Advanced-Node IC)” and “Extreme Ultraviolet (EUV)” in alphabetical order to read as follows:

    End Amendment Part
    Definitions of terms as used in the Export Administration Regulations (EAR).
    * * * * *

    Advanced-Node Integrated Circuits (Advanced-Node IC). For parts 734 and 744 of the EAR, advanced-node integrated circuits include integrated circuits that meet any of the following criteria:

    (1) Logic integrated circuits using a non-planar transistor architecture or with a “production” `technology node' of 16/14 nanometers or less;

    (2) NOT AND (NAND) memory integrated circuits with 128 layers or more; or

    (3) Dynamic random-access memory (DRAM) integrated circuits using a “production” `technology node' of 18 nanometer half-pitch or less.

    Note 1 to definition of “Advanced-Node Integrated Circuits”: For the purposes of paragraphs (1) and (3) of this definition, the term technology node refers to the Logic Industry “Node Range” figure described in the International Roadmap for Devices and Systems, 2016 edition (“More Moore” White Paper), available at https://irds.ieee.org/​images/​files/​pdf/​2016_​MM.pdf).

    Technical Note to definition of “Advanced-Node Integrated Circuits”: For the purposes of paragraph (3) of this definition, the calculation methodology to be used in determining whether a DRAM integrated circuit uses a production technology node of 18 nanometer half-pitch or less is the calculated half-pitch method developed, adopted, and used by the Institute of Electrical and Electronics Engineers (IEEE) and published in the International Roadmap for Devices and Systems (IRDS), as follows:

    Cell size factor is 8, 6 or 4 depending on the DRAM architectures. Cell area is defined as Wordline*Bitline (which takes into consideration both transistor and capacitor dimensions)

    * * * * *

    Extreme Ultraviolet (EUV). Extreme Ultraviolet (EUV) means electromagnetic spectrum wavelengths greater than 5 nm and less than 124 nm.

    * * * * *
    Start Part Start Printed Page 73451

    PART 774—THE COMMERCE CONTROL LIST

    End Part Start Amendment Part

    15. The authority citation for part 774 continues to read as follows:

    End Amendment Part Start Authority

    Authority: 50 U.S.C. 4801–4852; 50 U.S.C. 4601 et seq.;50 U.S.C. 1701 et seq.;10 U.S.C. 8720; 10 U.S.C. 8730(e); 22 U.S.C. 287c, 22 U.S.C. 3201 et seq.;22 U.S.C. 6004; 42 U.S.C. 2139a; 15 U.S.C. 1824; 50 U.S.C. 4305; 22 U.S.C. 7201 et seq.;22 U.S.C. 7210; E.O. 13026, 61 FR 58767, 3 CFR, 1996 Comp., p. 228; E.O. 13222, 66 FR 44025, 3 CFR, 2001 Comp., p. 783.

    End Authority Start Amendment Part

    16. Supplement no. 1 to part 774 is amended by:

    End Amendment Part Start Amendment Part

    a. Revising ECCNs 3B001 and 3B002;

    End Amendment Part Start Amendment Part

    b. Removing ECCN 3B090; and

    End Amendment Part Start Amendment Part

    c. Revising ECCNs 3D001, 3D002, 3D003, and 3E001.

    End Amendment Part

    The revisions read as follows:

    Supplement No. 1 to Part 774—The Commerce Control List

    * * * * *

    3B001 Equipment for the manufacturing of semiconductor devices, materials, or related equipment, as follows (see List of Items Controlled) and “specially designed” “components” and “accessories” therefor.

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s)Country chart (see Supp. No. 1 to part 738)
    NS applies to 3B001.a.1 to a.3, b, e, f.1.a, f.2 to f.4, g to jNS Column 2.
    NS applies to 3B001.a.4, c, d, f.1.b, k to pTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.4(a)(4) of the EAR.
    RS applies to 3B001.a.4, c, d, f.1.b, k to pTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6) of the EAR.
    AT applies to entire entryAT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    LVS: $500, except semiconductor manufacturing equipment specified in 3B001.a.4, c, d, f.1.b, k to p.

    GBS: Yes, except a.3 (molecular beam epitaxial growth equipment using gas sources), .e (automatic loading multi-chamber central wafer handling systems only if connected to equipment controlled by 3B001.a.3, or .f), and .f (lithography equipment).

    List of Items Controlled

    Related Controls: See also 3B991

    Related Definitions: N/A

    Items:

    a. Equipment designed for epitaxial growth as follows:

    a.1. Equipment designed or modified to produce a layer of any material other than silicon with a thickness uniform to less than ±2.5% across a distance of 75 mm or more;

    Note: 3B001.a.1 includes atomic layer epitaxy (ALE) equipment.

    a.2. Metal Organic Chemical Vapor Deposition (MOCVD) reactors designed for compound semiconductor epitaxial growth of material having two or more of the following elements: aluminum, gallium, indium, arsenic, phosphorus, antimony, or nitrogen;

    a.3. Molecular beam epitaxial growth equipment using gas or solid sources;

    a.4. Equipment designed for silicon (Si), carbon doped silicon, silicon germanium (SiGe), or carbon doped SiGe epitaxial growth, and having all of the following:

    a.4.a. Multiple chambers and maintaining high vacuum (equal to or less than 0.01 Pa) or inert environment (water and oxygen partial pressure less than 0.01 Pa) between process steps;

    a.4.b. At least one preclean chamber designed to provide a surface preparation means to clean the surface of the wafer; and

    a.4.c. An epitaxial deposition operating temperature of 685 °C or below;

    b. Semiconductor wafer fabrication equipment designed for ion implantation and having any of the following:

    b.1. [Reserved]

    b.2. Being designed and optimized to operate at a beam energy of 20 keV or more and a beam current of 10 mA or more for hydrogen, deuterium, or helium implant;

    b.3. Direct write capability;

    b.4. A beam energy of 65 keV or more and a beam current of 45 mA or more for high energy oxygen implant into a heated semiconductor material “substrate”; or

    b.5. Being designed and optimized to operate at beam energy of 20 keV or more and a beam current of 10mA or more for silicon implant into a semiconductor material “substrate” heated to 600 °C or greater;

    c. Etch equipment.

    c.1. Equipment designed for dry etching as follows:

    c.1.a. Equipment designed or modified for isotropic dry etching, having a largest `silicon germanium-to-silicon (SiGe:Si) etch selectivity' of greater than or equal to 100:1; or

    c.1.b. Equipment designed or modified for anisotropic etching of dielectric materials and enabling the fabrication of high aspect ratio features with aspect ratio greater than 30:1 and a lateral dimension on the top surface of less than 100 nm, and having all of the following:

    c.1.b.1. Radio Frequency (RF) power source(s) with at least one pulsed RF output; and

    c.1.b.2. One or more fast gas switching valve(s) with switching time less than 300 milliseconds; or

    c.1.c. Equipment designed or modified for anisotropic dry etching, having all of the following;

    c.1.c.1. Radio Frequency (RF) power source(s) with at least one pulsed RF output;

    c.1.c.2. One or more fast gas switching valve(s) with switching time less than 300 milliseconds; and

    c.1.c.3. Electrostatic chuck with twenty or more individually controllable variable temperature elements;

    c.2. Equipment designed for wet chemical processing and having a largest `silicon germanium-to-silicon (SiGe:Si) etch selectivity' of greater than or equal to 100:1;

    Note 1: 3B001.c includes etching by `radicals', ions, sequential reactions, or non-sequential reaction.

    Note 2: 3B001.c.1.c includes etching using RF pulse excited plasma, pulsed duty cycle excited plasma, pulsed voltage on electrodes modified plasma, cyclic injection and purging of gases combined with a plasma, plasma atomic layer etching, or plasma quasi-atomic layer etching.

    Technical Notes:

    1. For the purposes of 3B001.c, `silicon germanium-to-silicon (SiGe:Si) etch selectivity' is measured for a Ge concentration of greater than or equal to 30% (Si0.70Ge0.30).

    2. For the purposes of 3B001.c Note 1 and 3B001.d.14, `radical' is defined as an atom, molecule, or ion that has an unpaired electron in an open electron shell configuration.

    d. Semiconductor manufacturing deposition equipment, as follows:

    d.1. Equipment designed for cobalt (Co) electroplating or cobalt electroless-plating deposition processes;

    Note: 3B001.d.1 controls semiconductor wafer processing equipment.

    d.2. Equipment designed for:

    d.2.a. Chemical vapor deposition of cobalt (Co) fill metal; or

    d.2.b. Selective bottom-up chemical vapor deposition of tungsten (W) fill metal;

    d.3. Equipment designed to fabricate a metal contact by multistep processing within a single chamber by performing all of the following:

    d.3.a. Deposition of a tungsten layer, using an organometallic compound, while maintaining the wafer substrate temperature greater than 100 °C and less than 500 °C; and

    d.3.b. A plasma process using hydrogen (H2), including hydrogen and nitrogen (H2 + N2) or ammonia (NH3);

    d.4. Equipment or systems designed for multistep processing in multiple chambers or stations and maintaining high vacuum (equal to or less than 0.01 Pa) or inert environment between process steps, as follows:

    d.4.a. Equipment designed to fabricate a metal contact by performing the following processes:

    d.4.a.1. Surface treatment plasma process using hydrogen (H2), including hydrogen and nitrogen (H2 + N2) or ammonia (NH3), while maintaining the wafer substrate at a temperature greater than 100 °C and less than 500 °C;

    d.4.a.2. Surface treatment plasma process using oxygen (O2) or ozone (O3), while maintaining the wafer substrate at a Start Printed Page 73452 temperature greater than 40 °C and less than 500 °C; and

    d.4.a.3. Deposition of a tungsten layer while maintaining the wafer substrate temperature greater than 100 °C and less than 500 °C;

    d.4.b. Equipment designed to fabricate a metal contact by performing the following processes:

    d.4.b.1 Surface treatment process using a remote plasma generator and an ion filter; and

    d.4.b.2. Deposition of a cobalt (Co) layer selectively onto copper (Cu) using an organometallic compound;

    Note: This control does not apply to equipment that is non-selective.

    d.4.c. Equipment designed to fabricate a metal contact by performing all the following processes:

    d.4.c.1. Deposition of a titanium nitride (TiN) or tungsten carbide (WC) layer, using an organometallic compound, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C;

    d.4.c.2. Deposition of a cobalt (Co) layer using a physical sputter deposition technique and having a process pressure greater than 133.3 mPa and less than 13.33 Pa, while maintaining the wafer substrate at a temperature below 500 °C; and

    d.4.c.3. Deposition of a cobalt (Co) layer using an organometallic compound and having a process pressure greater than 133.3 Pa and less than 13.33 kPa, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C;

    d.4.d. Equipment designed to fabricate copper (Cu) interconnects by performing all of the following processes:

    d.4.d.1. Deposition of a cobalt (Co) or ruthenium (Ru) layer using an organometallic compound and having a process pressure greater than 133.3 Pa and less than 13.33 kPa, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C; and

    d.4.d.2. Deposition of a copper layer using a physical vapor deposition technique and having a process pressure greater than 133.3 mPa and less than 13.33 kPa, while maintaining the wafer substrate at a temperature below 500 °C;

    d.5. Equipment designed for plasma enhanced chemical vapor deposition of carbon hard masks more than 100 nm thick and with stress less than 450 Mpa;

    d.6. Atomic Layer Deposition (ALD) equipment designed for area selective deposition of a barrier or liner using an organometallic compound;

    Note: 3B001.d.6 includes equipment capable of area selective deposition of a barrier layer to enable fill metal contact to an underlying electrical conductor without a barrier layer at the fill metal via interface to an underlying electrical conductor.

    d.7. Equipment designed for Atomic Layer Deposition (ALD) of tungsten (W) to fill an entire interconnect or in a channel less than 40 nm wide, while maintaining the wafer substrate at a temperature less than 500 °C.

    d.8 Equipment designed for Atomic Layer Deposition (ALD) of `work function metal' having all of the following:

    d.8.a. More than one metal source of which one is designed for an aluminum (Al) precursor;

    d.8.b. Precursor vessel designed and enabled to operate at a temperature greater than 30 °C; and

    d.8.c. Designed for depositing a `work function metal' having all of the following:

    d.8.c.1. Deposition of titanium-aluminum carbide (TiAlC); and

    d.8.c.2. Enabling a work function greater than 4.0eV;

    Technical Note: For the purposes of 3B001.d.8, `work function metal' is a material that controls the threshold voltage of a transistor.

    d.9. Spatial Atomic Layer Deposition (ALD) equipment having a wafer support platform that rotates around an axis having any of the following:

    d.9.a. A spatial plasma enhanced atomic layer deposition mode of operation;

    d.9.b. A plasma source; or

    d.9.c. A plasma shield or means to confine the plasma to the plasma exposure process region;

    d.10. Equipment designed for Atomic Layer Deposition (ALD) or Chemical Vapor Deposition (CVD) of plasma enhanced of low fluorine tungsten (FW) (fluorine (F) concentration less than 1019 atoms/cm3 ) films;

    d.11. Equipment designed to deposit a metal layer, in a vacuum (equal to or less than 0.01 Pa) or inert gas environment, and having all of the following:

    d.11.a. A Chemical Vapor Deposition (CVD) or cyclic deposition process for depositing a tungsten nitride (WN) layer, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C; and

    d.11.b. A Chemical Vapor Deposition (CVD) or cyclic deposition process for depositing a tungsten (W) layer having a process pressure greater than 133.3 Pa and less than 53.33 kPa, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C.

    d.12. Equipment designed for depositing a metal layer, in a vacuum (equal to or less than 0.01 Pa) or inert gas environment, and having any of the following:

    d.12.a. Selective tungsten (W) growth without a barrier; or

    d.12.b. Selective molybdenum (Mo) growth without a barrier;

    d.13. Equipment designed for depositing a ruthenium layer (Ru) using an organometallic compound, while maintaining the wafer substrate at a temperature greater than 20 °C and less than 500 °C;

    d.14. Equipment designed for deposition assisted by remotely generated `radicals', enabling the fabrication of a silicon (Si) and carbon (C) containing film, and having all of the following properties of the deposited film:

    d.14.a. A dielectric constant (k) of less than 5.3;

    d.14.b. An aspect ratio greater than 5:1 in features with lateral openings of less than 70 nm; and

    d.14.c. A feature-to-feature pitch of less than 100 nm;

    d.15. Equipment designed for void free plasma enhanced deposition of a low-k dielectric layer in gaps between metal lines less than 25 nm and having an aspect ratio greater than or equal to 1:1 with a less than 3.3 dielectric constant;

    d.16. Equipment designed for deposition of a film, containing silicon and carbon, and having a dielectric constant (k) of less than 5.3, into lateral openings having widths of less than 70 nm and aspect ratios greater than 5:1 (depth: width) and a feature-to-feature pitch of less than 100 nm, while maintaining the wafer substrate at a temperature greater than 400 °C and less than 650 °C, and having all of the following:

    d.16.a. Boat designed to hold multiple vertically stacked wafers;

    d.16.b. Two or more vertical injectors; and

    d.16.c. A silicon source and propene are introduced to a different injector than a nitrogen source or an oxygen source;

    e. Automatic loading multi-chamber central wafer handling systems having all of the following:

    e.1. Interfaces for wafer input and output, to which more than two functionally different `semiconductor process tools' controlled by 3B001.a.1, 3B001.a.2, 3B001.a.3 or 3B001.b are designed to be connected; and

    e.2. Designed to form an integrated system in a vacuum environment for `sequential multiple wafer processing';

    Note: 3B001.e does not control automatic robotic wafer handling systems “specially designed” for parallel wafer processing.

    Technical Notes:

    1. For the purposes of 3B001.e, `semiconductor process tools' refers to modular tools that provide physical processes for semiconductor production that are functionally different, such as deposition, implant or thermal processing.

    2. For the purposes of 3B001.e, `sequential multiple wafer processing' means the capability to process each wafer in different `semiconductor process tools', such as by transferring each wafer from one tool to a second tool and on to a third tool with the automatic loading multi-chamber central wafer handling systems.

    f. Lithography equipment as follows:

    f.1. Align and expose step and repeat (direct step on wafer) or step and scan (scanner) equipment for wafer processing using photo-optical or X-ray methods and having any of the following:

    f.1.a. A light source wavelength shorter than 193 nm; or

    f.1.b. A light source wavelength equal to or longer than 193 nm and having all of the following:

    f.1.b.1. The capability to produce a pattern with a “Minimum Resolvable Feature size” (MRF) of 45 nm or less; and

    f.1.b.2. Having any of the following:

    f.1.b.2.a. A maximum `dedicated chuck overlay' value of less than or equal to 1.50 nm; or

    f.1.b.2.b. A maximum `dedicated chuck overlay' value greater than 1.50 nm but less than or equal to 2.4 nm;

    Technical Notes: For the purposes of 3B001.f.1.b:

    1. The `Minimum Resolvable Feature size' (MRF), i.e.,resolution, is calculated by the following formula:Start Printed Page 73453

    (an exposure light source wavelength in nm) × (K factor)
    MRF--------------------
    maximum numerical aperture

    where, for the purposes of 3.B.1.f.1.b, the K factor = 0.25 `MRF' is also known as resolution.

    2. `Dedicated chuck overlay' is the alignment accuracy of a new pattern to an existing pattern printed on a wafer by the same lithographic system. `Dedicated chuck overlay' is also known as single machine overlay.

    f.2. Imprint lithography equipment capable of production features of 45 nm or less;

    Note: 3B001.f.2 includes:

    —Micro contact printing tools

    —Hot embossing tools

    —Nano-imprint lithography tools

    —Step and flash imprint lithography (S–FIL) tools

    f.3. Equipment “specially designed” for mask making having all of the following:

    f.3.a. A deflected focused electron beam, ion beam or “laser” beam; and

    f.3.b. Having any of the following:

    f.3.b.1. A Full-Width Half-Maximum (FWHM) spot size smaller than 65 nm and an image placement less than 17 nm (mean + 3 sigma); or

    f.3.b.2. [Reserved]

    f.3.b.3. A second-layer overlay error of less than 23 nm (mean + 3 sigma) on the mask;

    f.4. Equipment designed for device processing using direct writing methods, having all of the following:

    f.4.a. A deflected focused electron beam; and

    f.4.b. Having any of the following:

    f.4.b.1. A minimum beam size equal to or smaller than 15 nm; or

    f.4.b.2. An overlay error less than 27 nm (mean + 3 sigma);

    g. Masks and reticles, designed for integrated circuits controlled by 3A001;

    h. Multi-layer masks with a phase shift layer not specified by 3B001.g and designed to be used by lithography equipment having a light source wavelength less than 245 nm;

    Note: 3B001.h. does not control multi-layer masks with a phase shift layer designed for the fabrication of memory devices not controlled by 3A001.

    N.B.: For masks and reticles, “specially designed” for optical sensors, see 6B002.

    i. Imprint lithography templates designed for integrated circuits by 3A001;

    j. Mask “substrate blanks” with multilayer reflector structure consisting of molybdenum and silicon, and having all of the following:

    j.1. “Specially designed” for “Extreme Ultraviolet” (“EUV”) lithography; and

    j.2. Compliant with SEMI Standard P37;

    k. Equipment designed for ion beam deposition or physical vapor deposition of a multi-layer reflector for “EUV” masks;

    l. “EUV” pellicles;

    m. Equipment for manufacturing “EUV” pellicles;

    n. Equipment designed for coating, depositing, baking, or developing photoresist formulated for “EUV” lithography;

    o. Annealing equipment, operating in a vacuum (equal to or less than 0.01 Pa) environment, performing any of the following:

    o.1. Reflow of copper (Cu) to minimize or eliminate voids or seams in copper (Cu) metal interconnects; or

    o.2. Reflow of cobalt (Co) tungsten (W) fill metal to minimize or eliminate voids or seams;

    p. Removal and cleaning equipment as follows:

    p.1. Equipment designed for removing polymeric residue and copper oxide (CuO) film and enabling deposition of copper (Cu) metal in a vacuum (equal to or less than 0.01 Pa) environment;

    p.2. Single wafer wet cleaning equipment with surface modification drying; or

    p.3. Equipment designed for dry surface oxide removal preclean or dry surface decontamination.

    Note to 3B001.p.1 and p.3: These controls do not apply to deposition equipment.

    3B002 Test or inspection equipment “specially designed” for testing or inspecting finished or unfinished semiconductor devices as follows (see List of Items Controlled) and “specially designed” “components” and “accessories” therefor.

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s)Country chart ( see supp. No. 1 to part 738)
    NS applies to 3B002.aNS Column 2.
    NS applies to 3B002.b and cTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.4(a)(4) of the EAR.
    RS applies to 3B002.b and cTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6) of the EAR.
    AT applies to entire entryAT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    LVS: $500, except semiconductor manufacturing equipment specified in 3B002.b and c.

    GBS: Yes

    List of Items Controlled

    Related Controls: See also 3A999.a and 3B992

    Related Definitions: N/A

    Items:

    a. For testing S-parameters of items specified by 3A001.b.3;

    b. For testing microwave integrated circuits controlled by 3A001.b.2;

    c. Inspection equipment designed for “EUV” mask blanks or “EUV” patterned masks.

    * * * * *

    3D001 “Software” “specially designed” for the “development” or “production” of commodities controlled by 3A001.b to 3A002.h, or 3B (except 3B991 and 3B992).

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s)Country chart ( see supp. No. 1 to part 738)
    NS applies to “software” for commodities controlled by 3A001.b to 3A001.h, 3A002, and 3B (except 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and c)NS Column 1.
    NS applies to “software” for commodities controlled by 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and cTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.4(a)(4) of the EAR.
    RS applies to “software” for commodities controlled by 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and cTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6) of the EAR.
    RS applies to “software” for commodities controlled by 3A090China and Macau See § 742.6(a)(6).
    AT applies to entire entryAT Column 1.

    Reporting Requirements

    See § 743.1 of the EAR for reporting requirements for exports under License Exceptions, Special Comprehensive Licenses, and Validated End-User authorizations.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: Yes, except N/A for RS and for “software” “specially designed” for the “development” or “production” of Traveling Wave Tube Amplifiers described in 3A001.b.8 having operating frequencies exceeding 18 GHz.

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “software” “specially designed” for the “development” or “production” of equipment specified by 3A002.g.1 or 3B001.a.2 to any of the destinations specified in Country Group A:6 (See Supplement No.1 to part 740 of the EAR). Start Printed Page 73454

    List of Items Controlled

    Related Controls: N/A

    Related Definitions: N/A

    Items:

    The list of items controlled is contained in the ECCN heading.

    3D002 “Software” “specially designed” for the “use” of equipment controlled by 3B001.a to .f and .k to .p, or 3B002.

    License Requirements

    Reason for Control: NS, RS, AT

    Control(s)Country chart (see Supp. No. 1 to part 738)
    NS applies to entire entry, except “software” for 3B001.a.4 c, d, f.1.b, k to p, 3B002.b and cNS Column 1.
    NS applies to “software” for 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and cTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.4(a)(4) of the EAR.
    RS applies to “software” for 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and cTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6) of the EAR.
    AT applies to entire entryAT Column 1.

    License Requirements Note: See § 744.17 of the EAR for additional license requirements for microprocessors having a processing speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more, including those incorporating “information security” functionality, and associated “software” and “technology” for the “production” or “development” of such microprocessors.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: Yes, except N/A for RS.

    List of Items Controlled

    Related Controls: Also see 3D991.

    Related Definitions: N/A

    Items:

    The list of items controlled is contained in the ECCN heading.

    3D003 `Computational lithography' “software” “specially designed” for the “development” of patterns on “EUV”-lithography masks or reticles.

    License Requirements

    Reason for Control: NS, AT

    Control(s)Country chart (see Supp. No. 1 to part 738)
    NS applies to entire entryNS Column 1.
    AT applies to entire entryAT Column 1.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: Yes

    List of Items Controlled

    Related Controls: N/A

    Related Definitions: For the purposes of 3D003, `computational lithography' is the use of computer modelling to predict, correct, optimize and verify imaging performance of the lithography process over a range of patterns, processes, and system conditions.

    Items:

    The list of items controlled is contained in the ECCN heading.

    * * * * *

    3E001 “Technology” according to the General Technology Note for the “development” or “production” of commodities controlled by 3A (except 3A980, 3A981, 3A991, 3A992, or 3A999), 3B (except 3B991 or 3B992) or 3C (except 3C992).

    License Requirements

    Reason for Control: NS, MT, NP, RS, AT

    Control(s)Country chart (see Supp. No. 1 to part 738)
    NS applies to “technology” for commodities controlled by 3A001, 3A002, 3A003, 3B001 (except 3B001.a.4, c, d, f.1.b, k to p), 3B002 (except 3B002.b and c), or 3C001 to 3C006NS Column 1.
    NS applies to “technology” for 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and cTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.4(a)(4) of the EAR.
    MT applies to “technology” for commodities controlled by 3A001 or 3A101 for MT ReasonsMT Column 1.
    NP applies to “technology” for commodities controlled by 3A001, 3A201, or 3A225 to 3A234 for NP reasonsNP Column 1.
    RS applies to “technology” for commodities controlled by 3A090China and Macau (See § 742.6(a)(6)).
    RS applies to “technology” for commodities controlled by 3A090, when exported from China or MacauWorldwide (See § 742.6(a)(6)).
    RS applies to “technology” for commodities controlled by 3B001.a.4, c, d, f.1.b, k to p, 3B002.b and cTo or within Macau or a destination specified in Country Group D:5 of supplement no. 1 to part 740 of the EAR. See § 742.6(a)(6) of the EAR.
    AT applies to entire entryAT Column 1.

    License Requirements Note: See § 744.17 of the EAR for additional license requirements for microprocessors having a processing speed of 5 GFLOPS or more and an arithmetic logic unit with an access width of 32 bit or more, including those incorporating “information security” functionality, and associated “software” and “technology” for the “production” or “development” of such microprocessors.

    Reporting Requirements

    See § 743.1 of the EAR for reporting requirements for exports under License Exceptions, Special Comprehensive Licenses, and Validated End-User authorizations.

    List Based License Exceptions (See Part 740 for a Description of All License Exceptions)

    TSR: Yes, except N/A for MT, NP, and RS, and “technology” for the “development” or “production” of:

    (a) vacuum electronic device amplifiers described in 3A001.b.8, having operating frequencies exceeding 19 GHz;

    (b) solar cells, coverglass-interconnect-cells or covered-interconnect-cells (CIC) “assemblies”, solar arrays and/or solar panels described in 3A001.e.4;

    (c) “Monolithic Microwave Integrated Circuit” (“MMIC”) amplifiers in 3A001.b.2; and

    (d) discrete microwave transistors in 3A001.b.3.

    Special Conditions for STA

    STA: License Exception STA may not be used to ship or transmit “technology” according to the General Technology Note for the “development” or “production” of equipment specified by ECCNs 3A002.g.1 or 3B001.a.2 to any of the destinations specified in Country Group A:6 (See Supplement No. 1 to part 740 of the EAR). License Exception STA may not be used to ship or transmit “technology” according to the General Technology Note for the “development” or “production” of components specified by ECCN 3A001.b.2 or b.3 to any of the destinations specified in Country Group A:5 or A:6 (See Supplement No. 1 to part 740 of the EAR). Start Printed Page 73455

    List of Items Controlled

    Related Controls: (1) “Technology” according to the General Technology Note for the “development” or “production” of certain “space-qualified” atomic frequency standards described in Category XV(e)(9), MMICs described in Category XV(e)(14), and oscillators described in Category XV(e)(15) of the USML are “subject to the ITAR” (see 22 CFR parts 120 through 130). See also 3E101, 3E201 and 9E515. (2) “Technology” for “development” or “production” of “Microwave Monolithic Integrated Circuits” (“MMIC”) amplifiers in 3A001.b.2 is controlled in this ECCN 3E001; 5E001.d refers only to that additional “technology” “required” for telecommunications.

    Related Definition: N/A

    Items:

    The list of items controlled is contained in the ECCN heading.

    Note 1: 3E001 does not control “technology” for equipment or “components” controlled by 3A003.

    Note 2: 3E001 does not control “technology” for integrated circuits controlled by 3A001.a.3 to a.14, having all of the following:

    (a) Using “technology” at or above 0.130 μm; and

    (b) Incorporating multi-layer structures with three or fewer metal layers.

    Note 3: 3E001 does not apply to `Process Design Kits' (`PDKs') unless they include libraries implementing functions or technologies for items specified by 3A001 or 3A090.

    Technical Note: For the purposes of 3E001 Note 3, a `Process Design Kit' (`PDK') is a software tool provided by a semiconductor manufacturer to ensure that the required design practices and rules are taken into account in order to successfully produce a specific integrated circuit design in a specific semiconductor process, in accordance with technological and manufacturing constraints (each semiconductor manufacturing process has its particular `PDK').

    * * * * *
    Start Signature

    Thea D. Rozman Kendler,

    Assistant Secretary for Export Administration.

    End Signature End Supplemental Information

    [FR Doc. 2023–23049 Filed 10–18–23; 8:45 am]

    BILLING CODE 3510–33–P

Document Information

Effective Date:
11/17/2023
Published:
10/25/2023
Department:
Industry and Security Bureau
Entry Type:
Rule
Action:
Interim final rule; request for comments.
Document Number:
2023-23049
Dates:
Effective dates: This rule is effective November 17, 2023, except for amendatory instruction 5, which is effective January 1, 2026.
Pages:
73424-73455 (32 pages)
Docket Numbers:
Docket No. 231013-0246
RINs:
0694-AJ23
Topics:
Administrative practice and procedure, Exports, Inventions and patents, Reporting and recordkeeping requirements, Research, Science and technology, Terrorism
PDF File:
2023-23049.pdf
CFR: (7)
15 CFR 734.4
15 CFR 740.2
15 CFR 742.4
15 CFR 742.6
15 CFR 744.6
More ...